]> Pileus Git - ~andy/linux/blob - drivers/usb/serial/ftdi_sio.c
USB: serial: ftdi_sio: new hardware support - hameg power supply
[~andy/linux] / drivers / usb / serial / ftdi_sio.c
1 /*
2  * USB FTDI SIO driver
3  *
4  *      Copyright (C) 1999 - 2001
5  *          Greg Kroah-Hartman (greg@kroah.com)
6  *          Bill Ryder (bryder@sgi.com)
7  *      Copyright (C) 2002
8  *          Kuba Ober (kuba@mareimbrium.org)
9  *
10  *      This program is free software; you can redistribute it and/or modify
11  *      it under the terms of the GNU General Public License as published by
12  *      the Free Software Foundation; either version 2 of the License, or
13  *      (at your option) any later version.
14  *
15  * See Documentation/usb/usb-serial.txt for more information on using this
16  * driver
17  *
18  * See http://ftdi-usb-sio.sourceforge.net for upto date testing info
19  *      and extra documentation
20  *
21  * Change entries from 2004 and earlier can be found in versions of this
22  * file in kernel versions prior to the 2.6.24 release.
23  *
24  */
25
26 /* Bill Ryder - bryder@sgi.com - wrote the FTDI_SIO implementation */
27 /* Thanx to FTDI for so kindly providing details of the protocol required */
28 /*   to talk to the device */
29 /* Thanx to gkh and the rest of the usb dev group for all code I have
30    assimilated :-) */
31
32 #include <linux/kernel.h>
33 #include <linux/errno.h>
34 #include <linux/init.h>
35 #include <linux/slab.h>
36 #include <linux/smp_lock.h>
37 #include <linux/tty.h>
38 #include <linux/tty_driver.h>
39 #include <linux/tty_flip.h>
40 #include <linux/module.h>
41 #include <linux/spinlock.h>
42 #include <linux/uaccess.h>
43 #include <linux/usb.h>
44 #include <linux/serial.h>
45 #include <linux/usb/serial.h>
46 #include "ftdi_sio.h"
47
48 /*
49  * Version Information
50  */
51 #define DRIVER_VERSION "v1.5.0"
52 #define DRIVER_AUTHOR "Greg Kroah-Hartman <greg@kroah.com>, Bill Ryder <bryder@sgi.com>, Kuba Ober <kuba@mareimbrium.org>"
53 #define DRIVER_DESC "USB FTDI Serial Converters Driver"
54
55 static int debug;
56 static __u16 vendor = FTDI_VID;
57 static __u16 product;
58
59 struct ftdi_private {
60         struct kref kref;
61         ftdi_chip_type_t chip_type;
62                                 /* type of device, either SIO or FT8U232AM */
63         int baud_base;          /* baud base clock for divisor setting */
64         int custom_divisor;     /* custom_divisor kludge, this is for
65                                    baud_base (different from what goes to the
66                                    chip!) */
67         __u16 last_set_data_urb_value ;
68                                 /* the last data state set - needed for doing
69                                  * a break
70                                  */
71         int write_offset;       /* This is the offset in the usb data block to
72                                  * write the serial data - it varies between
73                                  * devices
74                                  */
75         int flags;              /* some ASYNC_xxxx flags are supported */
76         unsigned long last_dtr_rts;     /* saved modem control outputs */
77         wait_queue_head_t delta_msr_wait; /* Used for TIOCMIWAIT */
78         char prev_status, diff_status;        /* Used for TIOCMIWAIT */
79         __u8 rx_flags;          /* receive state flags (throttling) */
80         spinlock_t rx_lock;     /* spinlock for receive state */
81         struct delayed_work rx_work;
82         struct usb_serial_port *port;
83         int rx_processed;
84         unsigned long rx_bytes;
85
86         __u16 interface;        /* FT2232C, FT2232H or FT4232H port interface
87                                    (0 for FT232/245) */
88
89         speed_t force_baud;     /* if non-zero, force the baud rate to
90                                    this value */
91         int force_rtscts;       /* if non-zero, force RTS-CTS to always
92                                    be enabled */
93
94         unsigned int latency;           /* latency setting in use */
95         spinlock_t tx_lock;     /* spinlock for transmit state */
96         unsigned long tx_bytes;
97         unsigned long tx_outstanding_bytes;
98         unsigned long tx_outstanding_urbs;
99         unsigned short max_packet_size;
100 };
101
102 /* struct ftdi_sio_quirk is used by devices requiring special attention. */
103 struct ftdi_sio_quirk {
104         int (*probe)(struct usb_serial *);
105         /* Special settings for probed ports. */
106         void (*port_probe)(struct ftdi_private *);
107 };
108
109 static int   ftdi_jtag_probe(struct usb_serial *serial);
110 static int   ftdi_mtxorb_hack_setup(struct usb_serial *serial);
111 static int   ftdi_NDI_device_setup(struct usb_serial *serial);
112 static void  ftdi_USB_UIRT_setup(struct ftdi_private *priv);
113 static void  ftdi_HE_TIRA1_setup(struct ftdi_private *priv);
114
115 static struct ftdi_sio_quirk ftdi_jtag_quirk = {
116         .probe  = ftdi_jtag_probe,
117 };
118
119 static struct ftdi_sio_quirk ftdi_mtxorb_hack_quirk = {
120         .probe  = ftdi_mtxorb_hack_setup,
121 };
122
123 static struct ftdi_sio_quirk ftdi_NDI_device_quirk = {
124         .probe  = ftdi_NDI_device_setup,
125 };
126
127 static struct ftdi_sio_quirk ftdi_USB_UIRT_quirk = {
128         .port_probe = ftdi_USB_UIRT_setup,
129 };
130
131 static struct ftdi_sio_quirk ftdi_HE_TIRA1_quirk = {
132         .port_probe = ftdi_HE_TIRA1_setup,
133 };
134
135 /*
136  * The 8U232AM has the same API as the sio except for:
137  * - it can support MUCH higher baudrates; up to:
138  *   o 921600 for RS232 and 2000000 for RS422/485 at 48MHz
139  *   o 230400 at 12MHz
140  *   so .. 8U232AM's baudrate setting codes are different
141  * - it has a two byte status code.
142  * - it returns characters every 16ms (the FTDI does it every 40ms)
143  *
144  * the bcdDevice value is used to differentiate FT232BM and FT245BM from
145  * the earlier FT8U232AM and FT8U232BM.  For now, include all known VID/PID
146  * combinations in both tables.
147  * FIXME: perhaps bcdDevice can also identify 12MHz FT8U232AM devices,
148  * but I don't know if those ever went into mass production. [Ian Abbott]
149  */
150
151
152
153 static struct usb_device_id id_table_combined [] = {
154         { USB_DEVICE(FTDI_VID, FTDI_AMC232_PID) },
155         { USB_DEVICE(FTDI_VID, FTDI_CANUSB_PID) },
156         { USB_DEVICE(FTDI_VID, FTDI_CANDAPTER_PID) },
157         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_0_PID) },
158         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_1_PID) },
159         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_2_PID) },
160         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_3_PID) },
161         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_4_PID) },
162         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_5_PID) },
163         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_6_PID) },
164         { USB_DEVICE(FTDI_VID, FTDI_SCS_DEVICE_7_PID) },
165         { USB_DEVICE(FTDI_VID, FTDI_ACTZWAVE_PID) },
166         { USB_DEVICE(FTDI_VID, FTDI_IRTRANS_PID) },
167         { USB_DEVICE(FTDI_VID, FTDI_IPLUS_PID) },
168         { USB_DEVICE(FTDI_VID, FTDI_IPLUS2_PID) },
169         { USB_DEVICE(FTDI_VID, FTDI_DMX4ALL) },
170         { USB_DEVICE(FTDI_VID, FTDI_SIO_PID) },
171         { USB_DEVICE(FTDI_VID, FTDI_8U232AM_PID) },
172         { USB_DEVICE(FTDI_VID, FTDI_8U232AM_ALT_PID) },
173         { USB_DEVICE(FTDI_VID, FTDI_232RL_PID) },
174         { USB_DEVICE(FTDI_VID, FTDI_8U2232C_PID) },
175         { USB_DEVICE(FTDI_VID, FTDI_4232H_PID) },
176         { USB_DEVICE(FTDI_VID, FTDI_MICRO_CHAMELEON_PID) },
177         { USB_DEVICE(FTDI_VID, FTDI_RELAIS_PID) },
178         { USB_DEVICE(FTDI_VID, FTDI_OPENDCC_PID) },
179         { USB_DEVICE(INTERBIOMETRICS_VID, INTERBIOMETRICS_IOBOARD_PID) },
180         { USB_DEVICE(INTERBIOMETRICS_VID, INTERBIOMETRICS_MINI_IOBOARD_PID) },
181         { USB_DEVICE(FTDI_VID, FTDI_SPROG_II) },
182         { USB_DEVICE(FTDI_VID, FTDI_XF_632_PID) },
183         { USB_DEVICE(FTDI_VID, FTDI_XF_634_PID) },
184         { USB_DEVICE(FTDI_VID, FTDI_XF_547_PID) },
185         { USB_DEVICE(FTDI_VID, FTDI_XF_633_PID) },
186         { USB_DEVICE(FTDI_VID, FTDI_XF_631_PID) },
187         { USB_DEVICE(FTDI_VID, FTDI_XF_635_PID) },
188         { USB_DEVICE(FTDI_VID, FTDI_XF_640_PID) },
189         { USB_DEVICE(FTDI_VID, FTDI_XF_642_PID) },
190         { USB_DEVICE(FTDI_VID, FTDI_DSS20_PID) },
191         { USB_DEVICE(FTDI_NF_RIC_VID, FTDI_NF_RIC_PID) },
192         { USB_DEVICE(FTDI_VID, FTDI_VNHCPCUSB_D_PID) },
193         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_0_PID) },
194         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_1_PID) },
195         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_2_PID) },
196         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_3_PID) },
197         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_4_PID) },
198         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_5_PID) },
199         { USB_DEVICE(FTDI_VID, FTDI_MTXORB_6_PID) },
200         { USB_DEVICE(FTDI_VID, FTDI_R2000KU_TRUE_RNG) },
201         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0100_PID) },
202         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0101_PID) },
203         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0102_PID) },
204         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0103_PID) },
205         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0104_PID) },
206         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0105_PID) },
207         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0106_PID) },
208         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0107_PID) },
209         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0108_PID) },
210         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0109_PID) },
211         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010A_PID) },
212         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010B_PID) },
213         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010C_PID) },
214         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010D_PID) },
215         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010E_PID) },
216         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_010F_PID) },
217         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0110_PID) },
218         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0111_PID) },
219         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0112_PID) },
220         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0113_PID) },
221         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0114_PID) },
222         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0115_PID) },
223         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0116_PID) },
224         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0117_PID) },
225         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0118_PID) },
226         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0119_PID) },
227         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011A_PID) },
228         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011B_PID) },
229         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011C_PID) },
230         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011D_PID) },
231         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011E_PID) },
232         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_011F_PID) },
233         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0120_PID) },
234         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0121_PID) },
235         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0122_PID) },
236         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0123_PID) },
237         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0124_PID) },
238         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0125_PID) },
239         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0126_PID) },
240         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0127_PID),
241                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
242         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0128_PID) },
243         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0129_PID) },
244         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012A_PID) },
245         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012B_PID) },
246         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012C_PID),
247                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
248         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012D_PID) },
249         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012E_PID) },
250         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_012F_PID) },
251         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0130_PID) },
252         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0131_PID) },
253         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0132_PID) },
254         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0133_PID) },
255         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0134_PID) },
256         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0135_PID) },
257         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0136_PID) },
258         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0137_PID) },
259         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0138_PID) },
260         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0139_PID) },
261         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013A_PID) },
262         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013B_PID) },
263         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013C_PID) },
264         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013D_PID) },
265         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013E_PID) },
266         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_013F_PID) },
267         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0140_PID) },
268         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0141_PID) },
269         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0142_PID) },
270         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0143_PID) },
271         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0144_PID) },
272         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0145_PID) },
273         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0146_PID) },
274         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0147_PID) },
275         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0148_PID) },
276         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0149_PID) },
277         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014A_PID) },
278         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014B_PID) },
279         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014C_PID) },
280         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014D_PID) },
281         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014E_PID) },
282         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_014F_PID) },
283         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0150_PID) },
284         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0151_PID) },
285         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0152_PID) },
286         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0153_PID),
287                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
288         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0154_PID),
289                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
290         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0155_PID),
291                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
292         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0156_PID),
293                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
294         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0157_PID),
295                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
296         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0158_PID),
297                 .driver_info = (kernel_ulong_t)&ftdi_mtxorb_hack_quirk },
298         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0159_PID) },
299         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015A_PID) },
300         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015B_PID) },
301         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015C_PID) },
302         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015D_PID) },
303         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015E_PID) },
304         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_015F_PID) },
305         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0160_PID) },
306         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0161_PID) },
307         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0162_PID) },
308         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0163_PID) },
309         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0164_PID) },
310         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0165_PID) },
311         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0166_PID) },
312         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0167_PID) },
313         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0168_PID) },
314         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0169_PID) },
315         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016A_PID) },
316         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016B_PID) },
317         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016C_PID) },
318         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016D_PID) },
319         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016E_PID) },
320         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_016F_PID) },
321         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0170_PID) },
322         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0171_PID) },
323         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0172_PID) },
324         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0173_PID) },
325         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0174_PID) },
326         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0175_PID) },
327         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0176_PID) },
328         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0177_PID) },
329         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0178_PID) },
330         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0179_PID) },
331         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017A_PID) },
332         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017B_PID) },
333         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017C_PID) },
334         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017D_PID) },
335         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017E_PID) },
336         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_017F_PID) },
337         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0180_PID) },
338         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0181_PID) },
339         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0182_PID) },
340         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0183_PID) },
341         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0184_PID) },
342         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0185_PID) },
343         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0186_PID) },
344         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0187_PID) },
345         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0188_PID) },
346         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0189_PID) },
347         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018A_PID) },
348         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018B_PID) },
349         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018C_PID) },
350         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018D_PID) },
351         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018E_PID) },
352         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_018F_PID) },
353         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0190_PID) },
354         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0191_PID) },
355         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0192_PID) },
356         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0193_PID) },
357         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0194_PID) },
358         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0195_PID) },
359         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0196_PID) },
360         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0197_PID) },
361         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0198_PID) },
362         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_0199_PID) },
363         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019A_PID) },
364         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019B_PID) },
365         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019C_PID) },
366         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019D_PID) },
367         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019E_PID) },
368         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_019F_PID) },
369         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A0_PID) },
370         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A1_PID) },
371         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A2_PID) },
372         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A3_PID) },
373         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A4_PID) },
374         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A5_PID) },
375         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A6_PID) },
376         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A7_PID) },
377         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A8_PID) },
378         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01A9_PID) },
379         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AA_PID) },
380         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AB_PID) },
381         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AC_PID) },
382         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AD_PID) },
383         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AE_PID) },
384         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01AF_PID) },
385         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B0_PID) },
386         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B1_PID) },
387         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B2_PID) },
388         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B3_PID) },
389         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B4_PID) },
390         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B5_PID) },
391         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B6_PID) },
392         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B7_PID) },
393         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B8_PID) },
394         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01B9_PID) },
395         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BA_PID) },
396         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BB_PID) },
397         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BC_PID) },
398         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BD_PID) },
399         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BE_PID) },
400         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01BF_PID) },
401         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C0_PID) },
402         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C1_PID) },
403         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C2_PID) },
404         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C3_PID) },
405         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C4_PID) },
406         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C5_PID) },
407         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C6_PID) },
408         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C7_PID) },
409         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C8_PID) },
410         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01C9_PID) },
411         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CA_PID) },
412         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CB_PID) },
413         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CC_PID) },
414         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CD_PID) },
415         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CE_PID) },
416         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01CF_PID) },
417         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D0_PID) },
418         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D1_PID) },
419         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D2_PID) },
420         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D3_PID) },
421         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D4_PID) },
422         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D5_PID) },
423         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D6_PID) },
424         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D7_PID) },
425         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D8_PID) },
426         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01D9_PID) },
427         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DA_PID) },
428         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DB_PID) },
429         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DC_PID) },
430         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DD_PID) },
431         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DE_PID) },
432         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01DF_PID) },
433         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E0_PID) },
434         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E1_PID) },
435         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E2_PID) },
436         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E3_PID) },
437         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E4_PID) },
438         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E5_PID) },
439         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E6_PID) },
440         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E7_PID) },
441         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E8_PID) },
442         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01E9_PID) },
443         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01EA_PID) },
444         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01EB_PID) },
445         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01EC_PID) },
446         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01ED_PID) },
447         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01EE_PID) },
448         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01EF_PID) },
449         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F0_PID) },
450         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F1_PID) },
451         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F2_PID) },
452         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F3_PID) },
453         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F4_PID) },
454         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F5_PID) },
455         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F6_PID) },
456         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F7_PID) },
457         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F8_PID) },
458         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01F9_PID) },
459         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FA_PID) },
460         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FB_PID) },
461         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FC_PID) },
462         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FD_PID) },
463         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FE_PID) },
464         { USB_DEVICE(MTXORB_VID, MTXORB_FTDI_RANGE_01FF_PID) },
465         { USB_DEVICE(FTDI_VID, FTDI_PERLE_ULTRAPORT_PID) },
466         { USB_DEVICE(FTDI_VID, FTDI_PIEGROUP_PID) },
467         { USB_DEVICE(FTDI_VID, FTDI_TNC_X_PID) },
468         { USB_DEVICE(FTDI_VID, FTDI_USBX_707_PID) },
469         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2101_PID) },
470         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2102_PID) },
471         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2103_PID) },
472         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2104_PID) },
473         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2106_PID) },
474         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2201_1_PID) },
475         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2201_2_PID) },
476         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2202_1_PID) },
477         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2202_2_PID) },
478         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2203_1_PID) },
479         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2203_2_PID) },
480         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2401_1_PID) },
481         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2401_2_PID) },
482         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2401_3_PID) },
483         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2401_4_PID) },
484         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2402_1_PID) },
485         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2402_2_PID) },
486         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2402_3_PID) },
487         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2402_4_PID) },
488         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2403_1_PID) },
489         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2403_2_PID) },
490         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2403_3_PID) },
491         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2403_4_PID) },
492         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_1_PID) },
493         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_2_PID) },
494         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_3_PID) },
495         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_4_PID) },
496         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_5_PID) },
497         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_6_PID) },
498         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_7_PID) },
499         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2801_8_PID) },
500         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_1_PID) },
501         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_2_PID) },
502         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_3_PID) },
503         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_4_PID) },
504         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_5_PID) },
505         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_6_PID) },
506         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_7_PID) },
507         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2802_8_PID) },
508         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_1_PID) },
509         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_2_PID) },
510         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_3_PID) },
511         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_4_PID) },
512         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_5_PID) },
513         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_6_PID) },
514         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_7_PID) },
515         { USB_DEVICE(SEALEVEL_VID, SEALEVEL_2803_8_PID) },
516         { USB_DEVICE(IDTECH_VID, IDTECH_IDT1221U_PID) },
517         { USB_DEVICE(OCT_VID, OCT_US101_PID) },
518         { USB_DEVICE(FTDI_VID, FTDI_HE_TIRA1_PID),
519                 .driver_info = (kernel_ulong_t)&ftdi_HE_TIRA1_quirk },
520         { USB_DEVICE(FTDI_VID, FTDI_USB_UIRT_PID),
521                 .driver_info = (kernel_ulong_t)&ftdi_USB_UIRT_quirk },
522         { USB_DEVICE(FTDI_VID, PROTEGO_SPECIAL_1) },
523         { USB_DEVICE(FTDI_VID, PROTEGO_R2X0) },
524         { USB_DEVICE(FTDI_VID, PROTEGO_SPECIAL_3) },
525         { USB_DEVICE(FTDI_VID, PROTEGO_SPECIAL_4) },
526         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E808_PID) },
527         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E809_PID) },
528         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80A_PID) },
529         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80B_PID) },
530         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80C_PID) },
531         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80D_PID) },
532         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80E_PID) },
533         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E80F_PID) },
534         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E888_PID) },
535         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E889_PID) },
536         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88A_PID) },
537         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88B_PID) },
538         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88C_PID) },
539         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88D_PID) },
540         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88E_PID) },
541         { USB_DEVICE(FTDI_VID, FTDI_GUDEADS_E88F_PID) },
542         { USB_DEVICE(FTDI_VID, FTDI_ELV_UO100_PID) },
543         { USB_DEVICE(FTDI_VID, FTDI_ELV_UM100_PID) },
544         { USB_DEVICE(FTDI_VID, FTDI_ELV_UR100_PID) },
545         { USB_DEVICE(FTDI_VID, FTDI_ELV_ALC8500_PID) },
546         { USB_DEVICE(FTDI_VID, FTDI_PYRAMID_PID) },
547         { USB_DEVICE(FTDI_VID, FTDI_ELV_FHZ1000PC_PID) },
548         { USB_DEVICE(FTDI_VID, FTDI_IBS_US485_PID) },
549         { USB_DEVICE(FTDI_VID, FTDI_IBS_PICPRO_PID) },
550         { USB_DEVICE(FTDI_VID, FTDI_IBS_PCMCIA_PID) },
551         { USB_DEVICE(FTDI_VID, FTDI_IBS_PK1_PID) },
552         { USB_DEVICE(FTDI_VID, FTDI_IBS_RS232MON_PID) },
553         { USB_DEVICE(FTDI_VID, FTDI_IBS_APP70_PID) },
554         { USB_DEVICE(FTDI_VID, FTDI_IBS_PEDO_PID) },
555         { USB_DEVICE(FTDI_VID, FTDI_IBS_PROD_PID) },
556         /*
557          * Due to many user requests for multiple ELV devices we enable
558          * them by default.
559          */
560         { USB_DEVICE(FTDI_VID, FTDI_ELV_CLI7000_PID) },
561         { USB_DEVICE(FTDI_VID, FTDI_ELV_PPS7330_PID) },
562         { USB_DEVICE(FTDI_VID, FTDI_ELV_TFM100_PID) },
563         { USB_DEVICE(FTDI_VID, FTDI_ELV_UDF77_PID) },
564         { USB_DEVICE(FTDI_VID, FTDI_ELV_UIO88_PID) },
565         { USB_DEVICE(FTDI_VID, FTDI_ELV_UAD8_PID) },
566         { USB_DEVICE(FTDI_VID, FTDI_ELV_UDA7_PID) },
567         { USB_DEVICE(FTDI_VID, FTDI_ELV_USI2_PID) },
568         { USB_DEVICE(FTDI_VID, FTDI_ELV_T1100_PID) },
569         { USB_DEVICE(FTDI_VID, FTDI_ELV_PCD200_PID) },
570         { USB_DEVICE(FTDI_VID, FTDI_ELV_ULA200_PID) },
571         { USB_DEVICE(FTDI_VID, FTDI_ELV_CSI8_PID) },
572         { USB_DEVICE(FTDI_VID, FTDI_ELV_EM1000DL_PID) },
573         { USB_DEVICE(FTDI_VID, FTDI_ELV_PCK100_PID) },
574         { USB_DEVICE(FTDI_VID, FTDI_ELV_RFP500_PID) },
575         { USB_DEVICE(FTDI_VID, FTDI_ELV_FS20SIG_PID) },
576         { USB_DEVICE(FTDI_VID, FTDI_ELV_WS300PC_PID) },
577         { USB_DEVICE(FTDI_VID, FTDI_ELV_FHZ1300PC_PID) },
578         { USB_DEVICE(FTDI_VID, FTDI_ELV_EM1010PC_PID) },
579         { USB_DEVICE(FTDI_VID, FTDI_ELV_WS500_PID) },
580         { USB_DEVICE(FTDI_VID, FTDI_ELV_HS485_PID) },
581         { USB_DEVICE(FTDI_VID, LINX_SDMUSBQSS_PID) },
582         { USB_DEVICE(FTDI_VID, LINX_MASTERDEVEL2_PID) },
583         { USB_DEVICE(FTDI_VID, LINX_FUTURE_0_PID) },
584         { USB_DEVICE(FTDI_VID, LINX_FUTURE_1_PID) },
585         { USB_DEVICE(FTDI_VID, LINX_FUTURE_2_PID) },
586         { USB_DEVICE(FTDI_VID, FTDI_CCSICDU20_0_PID) },
587         { USB_DEVICE(FTDI_VID, FTDI_CCSICDU40_1_PID) },
588         { USB_DEVICE(FTDI_VID, FTDI_CCSMACHX_2_PID) },
589         { USB_DEVICE(FTDI_VID, FTDI_CCSLOAD_N_GO_3_PID) },
590         { USB_DEVICE(FTDI_VID, FTDI_CCSICDU64_4_PID) },
591         { USB_DEVICE(FTDI_VID, FTDI_CCSPRIME8_5_PID) },
592         { USB_DEVICE(FTDI_VID, INSIDE_ACCESSO) },
593         { USB_DEVICE(INTREPID_VID, INTREPID_VALUECAN_PID) },
594         { USB_DEVICE(INTREPID_VID, INTREPID_NEOVI_PID) },
595         { USB_DEVICE(FALCOM_VID, FALCOM_TWIST_PID) },
596         { USB_DEVICE(FALCOM_VID, FALCOM_SAMBA_PID) },
597         { USB_DEVICE(FTDI_VID, FTDI_SUUNTO_SPORTS_PID) },
598         { USB_DEVICE(FTDI_VID, FTDI_OCEANIC_PID) },
599         { USB_DEVICE(TTI_VID, TTI_QL355P_PID) },
600         { USB_DEVICE(FTDI_VID, FTDI_RM_CANVIEW_PID) },
601         { USB_DEVICE(BANDB_VID, BANDB_USOTL4_PID) },
602         { USB_DEVICE(BANDB_VID, BANDB_USTL4_PID) },
603         { USB_DEVICE(BANDB_VID, BANDB_USO9ML2_PID) },
604         { USB_DEVICE(FTDI_VID, EVER_ECO_PRO_CDS) },
605         { USB_DEVICE(FTDI_VID, FTDI_4N_GALAXY_DE_1_PID) },
606         { USB_DEVICE(FTDI_VID, FTDI_4N_GALAXY_DE_2_PID) },
607         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_0_PID) },
608         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_1_PID) },
609         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_2_PID) },
610         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_3_PID) },
611         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_4_PID) },
612         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_5_PID) },
613         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_6_PID) },
614         { USB_DEVICE(FTDI_VID, XSENS_CONVERTER_7_PID) },
615         { USB_DEVICE(MOBILITY_VID, MOBILITY_USB_SERIAL_PID) },
616         { USB_DEVICE(FTDI_VID, FTDI_ACTIVE_ROBOTS_PID) },
617         { USB_DEVICE(FTDI_VID, FTDI_MHAM_KW_PID) },
618         { USB_DEVICE(FTDI_VID, FTDI_MHAM_YS_PID) },
619         { USB_DEVICE(FTDI_VID, FTDI_MHAM_Y6_PID) },
620         { USB_DEVICE(FTDI_VID, FTDI_MHAM_Y8_PID) },
621         { USB_DEVICE(FTDI_VID, FTDI_MHAM_IC_PID) },
622         { USB_DEVICE(FTDI_VID, FTDI_MHAM_DB9_PID) },
623         { USB_DEVICE(FTDI_VID, FTDI_MHAM_RS232_PID) },
624         { USB_DEVICE(FTDI_VID, FTDI_MHAM_Y9_PID) },
625         { USB_DEVICE(FTDI_VID, FTDI_TERATRONIK_VCP_PID) },
626         { USB_DEVICE(FTDI_VID, FTDI_TERATRONIK_D2XX_PID) },
627         { USB_DEVICE(EVOLUTION_VID, EVOLUTION_ER1_PID) },
628         { USB_DEVICE(EVOLUTION_VID, EVO_HYBRID_PID) },
629         { USB_DEVICE(EVOLUTION_VID, EVO_RCM4_PID) },
630         { USB_DEVICE(FTDI_VID, FTDI_ARTEMIS_PID) },
631         { USB_DEVICE(FTDI_VID, FTDI_ATIK_ATK16_PID) },
632         { USB_DEVICE(FTDI_VID, FTDI_ATIK_ATK16C_PID) },
633         { USB_DEVICE(FTDI_VID, FTDI_ATIK_ATK16HR_PID) },
634         { USB_DEVICE(FTDI_VID, FTDI_ATIK_ATK16HRC_PID) },
635         { USB_DEVICE(FTDI_VID, FTDI_ATIK_ATK16IC_PID) },
636         { USB_DEVICE(KOBIL_VID, KOBIL_CONV_B1_PID) },
637         { USB_DEVICE(KOBIL_VID, KOBIL_CONV_KAAN_PID) },
638         { USB_DEVICE(POSIFLEX_VID, POSIFLEX_PP7000_PID) },
639         { USB_DEVICE(FTDI_VID, FTDI_TTUSB_PID) },
640         { USB_DEVICE(FTDI_VID, FTDI_ECLO_COM_1WIRE_PID) },
641         { USB_DEVICE(FTDI_VID, FTDI_WESTREX_MODEL_777_PID) },
642         { USB_DEVICE(FTDI_VID, FTDI_WESTREX_MODEL_8900F_PID) },
643         { USB_DEVICE(FTDI_VID, FTDI_PCDJ_DAC2_PID) },
644         { USB_DEVICE(FTDI_VID, FTDI_RRCIRKITS_LOCOBUFFER_PID) },
645         { USB_DEVICE(FTDI_VID, FTDI_ASK_RDR400_PID) },
646         { USB_DEVICE(ICOM_ID1_VID, ICOM_ID1_PID) },
647         { USB_DEVICE(PAPOUCH_VID, PAPOUCH_TMU_PID) },
648         { USB_DEVICE(FTDI_VID, FTDI_ACG_HFDUAL_PID) },
649         { USB_DEVICE(FTDI_VID, FTDI_YEI_SERVOCENTER31_PID) },
650         { USB_DEVICE(FTDI_VID, FTDI_THORLABS_PID) },
651         { USB_DEVICE(TESTO_VID, TESTO_USB_INTERFACE_PID) },
652         { USB_DEVICE(FTDI_VID, FTDI_GAMMA_SCOUT_PID) },
653         { USB_DEVICE(FTDI_VID, FTDI_TACTRIX_OPENPORT_13M_PID) },
654         { USB_DEVICE(FTDI_VID, FTDI_TACTRIX_OPENPORT_13S_PID) },
655         { USB_DEVICE(FTDI_VID, FTDI_TACTRIX_OPENPORT_13U_PID) },
656         { USB_DEVICE(ELEKTOR_VID, ELEKTOR_FT323R_PID) },
657         { USB_DEVICE(FTDI_VID, FTDI_NDI_HUC_PID),
658                 .driver_info = (kernel_ulong_t)&ftdi_NDI_device_quirk },
659         { USB_DEVICE(FTDI_VID, FTDI_NDI_SPECTRA_SCU_PID),
660                 .driver_info = (kernel_ulong_t)&ftdi_NDI_device_quirk },
661         { USB_DEVICE(FTDI_VID, FTDI_NDI_FUTURE_2_PID),
662                 .driver_info = (kernel_ulong_t)&ftdi_NDI_device_quirk },
663         { USB_DEVICE(FTDI_VID, FTDI_NDI_FUTURE_3_PID),
664                 .driver_info = (kernel_ulong_t)&ftdi_NDI_device_quirk },
665         { USB_DEVICE(FTDI_VID, FTDI_NDI_AURORA_SCU_PID),
666                 .driver_info = (kernel_ulong_t)&ftdi_NDI_device_quirk },
667         { USB_DEVICE(TELLDUS_VID, TELLDUS_TELLSTICK_PID) },
668         { USB_DEVICE(FTDI_VID, FTDI_MAXSTREAM_PID) },
669         { USB_DEVICE(FTDI_VID, FTDI_PHI_FISCO_PID) },
670         { USB_DEVICE(TML_VID, TML_USB_SERIAL_PID) },
671         { USB_DEVICE(FTDI_VID, FTDI_ELSTER_UNICOM_PID) },
672         { USB_DEVICE(FTDI_VID, FTDI_PROPOX_JTAGCABLEII_PID) },
673         { USB_DEVICE(OLIMEX_VID, OLIMEX_ARM_USB_OCD_PID),
674                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
675         { USB_DEVICE(FIC_VID, FIC_NEO1973_DEBUG_PID),
676                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
677         { USB_DEVICE(FTDI_VID, FTDI_OOCDLINK_PID),
678                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
679         { USB_DEVICE(FTDI_VID, LMI_LM3S_DEVEL_BOARD_PID),
680                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
681         { USB_DEVICE(FTDI_VID, LMI_LM3S_EVAL_BOARD_PID),
682                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
683         { USB_DEVICE(FTDI_VID, FTDI_TURTELIZER_PID),
684                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
685         { USB_DEVICE(RATOC_VENDOR_ID, RATOC_PRODUCT_ID_USB60F) },
686         { USB_DEVICE(FTDI_VID, FTDI_REU_TINY_PID) },
687         { USB_DEVICE(PAPOUCH_VID, PAPOUCH_QUIDO4x4_PID) },
688         { USB_DEVICE(FTDI_VID, FTDI_DOMINTELL_DGQG_PID) },
689         { USB_DEVICE(FTDI_VID, FTDI_DOMINTELL_DUSB_PID) },
690         { USB_DEVICE(ALTI2_VID, ALTI2_N3_PID) },
691         { USB_DEVICE(FTDI_VID, DIEBOLD_BCS_SE923_PID) },
692         { USB_DEVICE(ATMEL_VID, STK541_PID) },
693         { USB_DEVICE(DE_VID, STB_PID) },
694         { USB_DEVICE(DE_VID, WHT_PID) },
695         { USB_DEVICE(ADI_VID, ADI_GNICE_PID),
696                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
697         { USB_DEVICE(JETI_VID, JETI_SPC1201_PID) },
698         { USB_DEVICE(MARVELL_VID, MARVELL_SHEEVAPLUG_PID),
699                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
700         { USB_DEVICE(LARSENBRUSGAARD_VID, LB_ALTITRACK_PID) },
701         { USB_DEVICE(GN_OTOMETRICS_VID, AURICAL_USB_PID) },
702         { USB_DEVICE(BAYER_VID, BAYER_CONTOUR_CABLE_PID) },
703         { USB_DEVICE(FTDI_VID, MARVELL_OPENRD_PID),
704                 .driver_info = (kernel_ulong_t)&ftdi_jtag_quirk },
705         { USB_DEVICE(FTDI_VID, HAMEG_HO820_PID) },
706         { USB_DEVICE(FTDI_VID, HAMEG_HO870_PID) },
707         { },                                    /* Optional parameter entry */
708         { }                                     /* Terminating entry */
709 };
710
711 MODULE_DEVICE_TABLE(usb, id_table_combined);
712
713 static struct usb_driver ftdi_driver = {
714         .name =         "ftdi_sio",
715         .probe =        usb_serial_probe,
716         .disconnect =   usb_serial_disconnect,
717         .id_table =     id_table_combined,
718         .no_dynamic_id =        1,
719 };
720
721 static const char *ftdi_chip_name[] = {
722         [SIO] = "SIO",  /* the serial part of FT8U100AX */
723         [FT8U232AM] = "FT8U232AM",
724         [FT232BM] = "FT232BM",
725         [FT2232C] = "FT2232C",
726         [FT232RL] = "FT232RL",
727         [FT2232H] = "FT2232H",
728         [FT4232H] = "FT4232H"
729 };
730
731
732 /* Constants for read urb and write urb */
733 #define BUFSZ 512
734
735 /* rx_flags */
736 #define THROTTLED               0x01
737 #define ACTUALLY_THROTTLED      0x02
738
739 /* Used for TIOCMIWAIT */
740 #define FTDI_STATUS_B0_MASK     (FTDI_RS0_CTS | FTDI_RS0_DSR | FTDI_RS0_RI | FTDI_RS0_RLSD)
741 #define FTDI_STATUS_B1_MASK     (FTDI_RS_BI)
742 /* End TIOCMIWAIT */
743
744 #define FTDI_IMPL_ASYNC_FLAGS = (ASYNC_SPD_HI | ASYNC_SPD_VHI \
745  | ASYNC_SPD_CUST | ASYNC_SPD_SHI | ASYNC_SPD_WARP)
746
747 /* function prototypes for a FTDI serial converter */
748 static int  ftdi_sio_probe(struct usb_serial *serial,
749                                         const struct usb_device_id *id);
750 static int  ftdi_sio_port_probe(struct usb_serial_port *port);
751 static int  ftdi_sio_port_remove(struct usb_serial_port *port);
752 static int  ftdi_open(struct tty_struct *tty, struct usb_serial_port *port);
753 static void ftdi_close(struct usb_serial_port *port);
754 static void ftdi_dtr_rts(struct usb_serial_port *port, int on);
755 static int  ftdi_write(struct tty_struct *tty, struct usb_serial_port *port,
756                         const unsigned char *buf, int count);
757 static int  ftdi_write_room(struct tty_struct *tty);
758 static int  ftdi_chars_in_buffer(struct tty_struct *tty);
759 static void ftdi_write_bulk_callback(struct urb *urb);
760 static void ftdi_read_bulk_callback(struct urb *urb);
761 static void ftdi_process_read(struct work_struct *work);
762 static void ftdi_set_termios(struct tty_struct *tty,
763                         struct usb_serial_port *port, struct ktermios *old);
764 static int  ftdi_tiocmget(struct tty_struct *tty, struct file *file);
765 static int  ftdi_tiocmset(struct tty_struct *tty, struct file *file,
766                         unsigned int set, unsigned int clear);
767 static int  ftdi_ioctl(struct tty_struct *tty, struct file *file,
768                         unsigned int cmd, unsigned long arg);
769 static void ftdi_break_ctl(struct tty_struct *tty, int break_state);
770 static void ftdi_throttle(struct tty_struct *tty);
771 static void ftdi_unthrottle(struct tty_struct *tty);
772
773 static unsigned short int ftdi_232am_baud_base_to_divisor(int baud, int base);
774 static unsigned short int ftdi_232am_baud_to_divisor(int baud);
775 static __u32 ftdi_232bm_baud_base_to_divisor(int baud, int base);
776 static __u32 ftdi_232bm_baud_to_divisor(int baud);
777 static __u32 ftdi_2232h_baud_base_to_divisor(int baud, int base);
778 static __u32 ftdi_2232h_baud_to_divisor(int baud);
779
780 static struct usb_serial_driver ftdi_sio_device = {
781         .driver = {
782                 .owner =        THIS_MODULE,
783                 .name =         "ftdi_sio",
784         },
785         .description =          "FTDI USB Serial Device",
786         .usb_driver =           &ftdi_driver ,
787         .id_table =             id_table_combined,
788         .num_ports =            1,
789         .probe =                ftdi_sio_probe,
790         .port_probe =           ftdi_sio_port_probe,
791         .port_remove =          ftdi_sio_port_remove,
792         .open =                 ftdi_open,
793         .close =                ftdi_close,
794         .dtr_rts =              ftdi_dtr_rts,
795         .throttle =             ftdi_throttle,
796         .unthrottle =           ftdi_unthrottle,
797         .write =                ftdi_write,
798         .write_room =           ftdi_write_room,
799         .chars_in_buffer =      ftdi_chars_in_buffer,
800         .read_bulk_callback =   ftdi_read_bulk_callback,
801         .write_bulk_callback =  ftdi_write_bulk_callback,
802         .tiocmget =             ftdi_tiocmget,
803         .tiocmset =             ftdi_tiocmset,
804         .ioctl =                ftdi_ioctl,
805         .set_termios =          ftdi_set_termios,
806         .break_ctl =            ftdi_break_ctl,
807 };
808
809
810 #define WDR_TIMEOUT 5000 /* default urb timeout */
811 #define WDR_SHORT_TIMEOUT 1000  /* shorter urb timeout */
812
813 /* High and low are for DTR, RTS etc etc */
814 #define HIGH 1
815 #define LOW 0
816
817 /* number of outstanding urbs to prevent userspace DoS from happening */
818 #define URB_UPPER_LIMIT 42
819
820 /*
821  * ***************************************************************************
822  * Utility functions
823  * ***************************************************************************
824  */
825
826 static unsigned short int ftdi_232am_baud_base_to_divisor(int baud, int base)
827 {
828         unsigned short int divisor;
829         /* divisor shifted 3 bits to the left */
830         int divisor3 = base / 2 / baud;
831         if ((divisor3 & 0x7) == 7)
832                 divisor3++; /* round x.7/8 up to x+1 */
833         divisor = divisor3 >> 3;
834         divisor3 &= 0x7;
835         if (divisor3 == 1)
836                 divisor |= 0xc000;
837         else if (divisor3 >= 4)
838                 divisor |= 0x4000;
839         else if (divisor3 != 0)
840                 divisor |= 0x8000;
841         else if (divisor == 1)
842                 divisor = 0;    /* special case for maximum baud rate */
843         return divisor;
844 }
845
846 static unsigned short int ftdi_232am_baud_to_divisor(int baud)
847 {
848          return ftdi_232am_baud_base_to_divisor(baud, 48000000);
849 }
850
851 static __u32 ftdi_232bm_baud_base_to_divisor(int baud, int base)
852 {
853         static const unsigned char divfrac[8] = { 0, 3, 2, 4, 1, 5, 6, 7 };
854         __u32 divisor;
855         /* divisor shifted 3 bits to the left */
856         int divisor3 = base / 2 / baud;
857         divisor = divisor3 >> 3;
858         divisor |= (__u32)divfrac[divisor3 & 0x7] << 14;
859         /* Deal with special cases for highest baud rates. */
860         if (divisor == 1)
861                 divisor = 0;
862         else if (divisor == 0x4001)
863                 divisor = 1;
864         return divisor;
865 }
866
867 static __u32 ftdi_232bm_baud_to_divisor(int baud)
868 {
869          return ftdi_232bm_baud_base_to_divisor(baud, 48000000);
870 }
871
872 static __u32 ftdi_2232h_baud_base_to_divisor(int baud, int base)
873 {
874         static const unsigned char divfrac[8] = { 0, 3, 2, 4, 1, 5, 6, 7 };
875         __u32 divisor;
876         int divisor3;
877
878         /* hi-speed baud rate is 10-bit sampling instead of 16-bit */
879         divisor3 = (base / 10 / baud) * 8;
880
881         divisor = divisor3 >> 3;
882         divisor |= (__u32)divfrac[divisor3 & 0x7] << 14;
883         /* Deal with special cases for highest baud rates. */
884         if (divisor == 1)
885                 divisor = 0;
886         else if (divisor == 0x4001)
887                 divisor = 1;
888         /*
889          * Set this bit to turn off a divide by 2.5 on baud rate generator
890          * This enables baud rates up to 12Mbaud but cannot reach below 1200
891          * baud with this bit set
892          */
893         divisor |= 0x00020000;
894         return divisor;
895 }
896
897 static __u32 ftdi_2232h_baud_to_divisor(int baud)
898 {
899          return ftdi_2232h_baud_base_to_divisor(baud, 120000000);
900 }
901
902 #define set_mctrl(port, set)            update_mctrl((port), (set), 0)
903 #define clear_mctrl(port, clear)        update_mctrl((port), 0, (clear))
904
905 static int update_mctrl(struct usb_serial_port *port, unsigned int set,
906                                                         unsigned int clear)
907 {
908         struct ftdi_private *priv = usb_get_serial_port_data(port);
909         char *buf;
910         unsigned urb_value;
911         int rv;
912
913         if (((set | clear) & (TIOCM_DTR | TIOCM_RTS)) == 0) {
914                 dbg("%s - DTR|RTS not being set|cleared", __func__);
915                 return 0;       /* no change */
916         }
917
918         buf = kmalloc(1, GFP_NOIO);
919         if (!buf)
920                 return -ENOMEM;
921
922         clear &= ~set;  /* 'set' takes precedence over 'clear' */
923         urb_value = 0;
924         if (clear & TIOCM_DTR)
925                 urb_value |= FTDI_SIO_SET_DTR_LOW;
926         if (clear & TIOCM_RTS)
927                 urb_value |= FTDI_SIO_SET_RTS_LOW;
928         if (set & TIOCM_DTR)
929                 urb_value |= FTDI_SIO_SET_DTR_HIGH;
930         if (set & TIOCM_RTS)
931                 urb_value |= FTDI_SIO_SET_RTS_HIGH;
932         rv = usb_control_msg(port->serial->dev,
933                                usb_sndctrlpipe(port->serial->dev, 0),
934                                FTDI_SIO_SET_MODEM_CTRL_REQUEST,
935                                FTDI_SIO_SET_MODEM_CTRL_REQUEST_TYPE,
936                                urb_value, priv->interface,
937                                buf, 0, WDR_TIMEOUT);
938
939         kfree(buf);
940         if (rv < 0) {
941                 dbg("%s Error from MODEM_CTRL urb: DTR %s, RTS %s",
942                                 __func__,
943                                 (set & TIOCM_DTR) ? "HIGH" :
944                                 (clear & TIOCM_DTR) ? "LOW" : "unchanged",
945                                 (set & TIOCM_RTS) ? "HIGH" :
946                                 (clear & TIOCM_RTS) ? "LOW" : "unchanged");
947         } else {
948                 dbg("%s - DTR %s, RTS %s", __func__,
949                                 (set & TIOCM_DTR) ? "HIGH" :
950                                 (clear & TIOCM_DTR) ? "LOW" : "unchanged",
951                                 (set & TIOCM_RTS) ? "HIGH" :
952                                 (clear & TIOCM_RTS) ? "LOW" : "unchanged");
953                 /* FIXME: locking on last_dtr_rts */
954                 priv->last_dtr_rts = (priv->last_dtr_rts & ~clear) | set;
955         }
956         return rv;
957 }
958
959
960 static __u32 get_ftdi_divisor(struct tty_struct *tty,
961                                                 struct usb_serial_port *port)
962 { /* get_ftdi_divisor */
963         struct ftdi_private *priv = usb_get_serial_port_data(port);
964         __u32 div_value = 0;
965         int div_okay = 1;
966         int baud;
967
968         /*
969          * The logic involved in setting the baudrate can be cleanly split into
970          * 3 steps.
971          * 1. Standard baud rates are set in tty->termios->c_cflag
972          * 2. If these are not enough, you can set any speed using alt_speed as
973          * follows:
974          *    - set tty->termios->c_cflag speed to B38400
975          *    - set your real speed in tty->alt_speed; it gets ignored when
976          *      alt_speed==0, (or)
977          *    - call TIOCSSERIAL ioctl with (struct serial_struct) set as
978          *      follows:
979          *      flags & ASYNC_SPD_MASK == ASYNC_SPD_[HI, VHI, SHI, WARP],
980          *      this just sets alt_speed to (HI: 57600, VHI: 115200,
981          *      SHI: 230400, WARP: 460800)
982          * ** Steps 1, 2 are done courtesy of tty_get_baud_rate
983          * 3. You can also set baud rate by setting custom divisor as follows
984          *    - set tty->termios->c_cflag speed to B38400
985          *    - call TIOCSSERIAL ioctl with (struct serial_struct) set as
986          *      follows:
987          *      o flags & ASYNC_SPD_MASK == ASYNC_SPD_CUST
988          *      o custom_divisor set to baud_base / your_new_baudrate
989          * ** Step 3 is done courtesy of code borrowed from serial.c
990          *    I should really spend some time and separate + move this common
991          *    code to serial.c, it is replicated in nearly every serial driver
992          *    you see.
993          */
994
995         /* 1. Get the baud rate from the tty settings, this observes
996               alt_speed hack */
997
998         baud = tty_get_baud_rate(tty);
999         dbg("%s - tty_get_baud_rate reports speed %d", __func__, baud);
1000
1001         /* 2. Observe async-compatible custom_divisor hack, update baudrate
1002            if needed */
1003
1004         if (baud == 38400 &&
1005             ((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_CUST) &&
1006              (priv->custom_divisor)) {
1007                 baud = priv->baud_base / priv->custom_divisor;
1008                 dbg("%s - custom divisor %d sets baud rate to %d",
1009                                 __func__, priv->custom_divisor, baud);
1010         }
1011
1012         /* 3. Convert baudrate to device-specific divisor */
1013
1014         if (!baud)
1015                 baud = 9600;
1016         switch (priv->chip_type) {
1017         case SIO: /* SIO chip */
1018                 switch (baud) {
1019                 case 300: div_value = ftdi_sio_b300; break;
1020                 case 600: div_value = ftdi_sio_b600; break;
1021                 case 1200: div_value = ftdi_sio_b1200; break;
1022                 case 2400: div_value = ftdi_sio_b2400; break;
1023                 case 4800: div_value = ftdi_sio_b4800; break;
1024                 case 9600: div_value = ftdi_sio_b9600; break;
1025                 case 19200: div_value = ftdi_sio_b19200; break;
1026                 case 38400: div_value = ftdi_sio_b38400; break;
1027                 case 57600: div_value = ftdi_sio_b57600;  break;
1028                 case 115200: div_value = ftdi_sio_b115200; break;
1029                 } /* baud */
1030                 if (div_value == 0) {
1031                         dbg("%s - Baudrate (%d) requested is not supported",
1032                                                         __func__,  baud);
1033                         div_value = ftdi_sio_b9600;
1034                         baud = 9600;
1035                         div_okay = 0;
1036                 }
1037                 break;
1038         case FT8U232AM: /* 8U232AM chip */
1039                 if (baud <= 3000000) {
1040                         div_value = ftdi_232am_baud_to_divisor(baud);
1041                 } else {
1042                         dbg("%s - Baud rate too high!", __func__);
1043                         baud = 9600;
1044                         div_value = ftdi_232am_baud_to_divisor(9600);
1045                         div_okay = 0;
1046                 }
1047                 break;
1048         case FT232BM: /* FT232BM chip */
1049         case FT2232C: /* FT2232C chip */
1050         case FT232RL:
1051                 if (baud <= 3000000) {
1052                         __u16 product_id = le16_to_cpu(
1053                                 port->serial->dev->descriptor.idProduct);
1054                         if (((FTDI_NDI_HUC_PID == product_id) ||
1055                              (FTDI_NDI_SPECTRA_SCU_PID == product_id) ||
1056                              (FTDI_NDI_FUTURE_2_PID == product_id) ||
1057                              (FTDI_NDI_FUTURE_3_PID == product_id) ||
1058                              (FTDI_NDI_AURORA_SCU_PID == product_id)) &&
1059                             (baud == 19200)) {
1060                                 baud = 1200000;
1061                         }
1062                         div_value = ftdi_232bm_baud_to_divisor(baud);
1063                 } else {
1064                         dbg("%s - Baud rate too high!", __func__);
1065                         div_value = ftdi_232bm_baud_to_divisor(9600);
1066                         div_okay = 0;
1067                         baud = 9600;
1068                 }
1069                 break;
1070         case FT2232H: /* FT2232H chip */
1071         case FT4232H: /* FT4232H chip */
1072                 if ((baud <= 12000000) & (baud >= 1200)) {
1073                         div_value = ftdi_2232h_baud_to_divisor(baud);
1074                 } else if (baud < 1200) {
1075                         div_value = ftdi_232bm_baud_to_divisor(baud);
1076                 } else {
1077                         dbg("%s - Baud rate too high!", __func__);
1078                         div_value = ftdi_232bm_baud_to_divisor(9600);
1079                         div_okay = 0;
1080                         baud = 9600;
1081                 }
1082                 break;
1083         } /* priv->chip_type */
1084
1085         if (div_okay) {
1086                 dbg("%s - Baud rate set to %d (divisor 0x%lX) on chip %s",
1087                         __func__, baud, (unsigned long)div_value,
1088                         ftdi_chip_name[priv->chip_type]);
1089         }
1090
1091         tty_encode_baud_rate(tty, baud, baud);
1092         return div_value;
1093 }
1094
1095 static int change_speed(struct tty_struct *tty, struct usb_serial_port *port)
1096 {
1097         struct ftdi_private *priv = usb_get_serial_port_data(port);
1098         char *buf;
1099         __u16 urb_value;
1100         __u16 urb_index;
1101         __u32 urb_index_value;
1102         int rv;
1103
1104         buf = kmalloc(1, GFP_NOIO);
1105         if (!buf)
1106                 return -ENOMEM;
1107
1108         urb_index_value = get_ftdi_divisor(tty, port);
1109         urb_value = (__u16)urb_index_value;
1110         urb_index = (__u16)(urb_index_value >> 16);
1111         if (priv->interface) {  /* FT2232C */
1112                 urb_index = (__u16)((urb_index << 8) | priv->interface);
1113         }
1114
1115         rv = usb_control_msg(port->serial->dev,
1116                             usb_sndctrlpipe(port->serial->dev, 0),
1117                             FTDI_SIO_SET_BAUDRATE_REQUEST,
1118                             FTDI_SIO_SET_BAUDRATE_REQUEST_TYPE,
1119                             urb_value, urb_index,
1120                             buf, 0, WDR_SHORT_TIMEOUT);
1121
1122         kfree(buf);
1123         return rv;
1124 }
1125
1126 static int write_latency_timer(struct usb_serial_port *port)
1127 {
1128         struct ftdi_private *priv = usb_get_serial_port_data(port);
1129         struct usb_device *udev = port->serial->dev;
1130         char buf[1];
1131         int rv = 0;
1132         int l = priv->latency;
1133
1134         if (priv->flags & ASYNC_LOW_LATENCY)
1135                 l = 1;
1136
1137         dbg("%s: setting latency timer = %i", __func__, l);
1138
1139         rv = usb_control_msg(udev,
1140                              usb_sndctrlpipe(udev, 0),
1141                              FTDI_SIO_SET_LATENCY_TIMER_REQUEST,
1142                              FTDI_SIO_SET_LATENCY_TIMER_REQUEST_TYPE,
1143                              l, priv->interface,
1144                              buf, 0, WDR_TIMEOUT);
1145
1146         if (rv < 0)
1147                 dev_err(&port->dev, "Unable to write latency timer: %i\n", rv);
1148         return rv;
1149 }
1150
1151 static int read_latency_timer(struct usb_serial_port *port)
1152 {
1153         struct ftdi_private *priv = usb_get_serial_port_data(port);
1154         struct usb_device *udev = port->serial->dev;
1155         unsigned short latency = 0;
1156         int rv = 0;
1157
1158
1159         dbg("%s", __func__);
1160
1161         rv = usb_control_msg(udev,
1162                              usb_rcvctrlpipe(udev, 0),
1163                              FTDI_SIO_GET_LATENCY_TIMER_REQUEST,
1164                              FTDI_SIO_GET_LATENCY_TIMER_REQUEST_TYPE,
1165                              0, priv->interface,
1166                              (char *) &latency, 1, WDR_TIMEOUT);
1167
1168         if (rv < 0) {
1169                 dev_err(&port->dev, "Unable to read latency timer: %i\n", rv);
1170                 return -EIO;
1171         }
1172         return latency;
1173 }
1174
1175 static int get_serial_info(struct usb_serial_port *port,
1176                                 struct serial_struct __user *retinfo)
1177 {
1178         struct ftdi_private *priv = usb_get_serial_port_data(port);
1179         struct serial_struct tmp;
1180
1181         if (!retinfo)
1182                 return -EFAULT;
1183         memset(&tmp, 0, sizeof(tmp));
1184         tmp.flags = priv->flags;
1185         tmp.baud_base = priv->baud_base;
1186         tmp.custom_divisor = priv->custom_divisor;
1187         if (copy_to_user(retinfo, &tmp, sizeof(*retinfo)))
1188                 return -EFAULT;
1189         return 0;
1190 } /* get_serial_info */
1191
1192
1193 static int set_serial_info(struct tty_struct *tty,
1194         struct usb_serial_port *port, struct serial_struct __user *newinfo)
1195 { /* set_serial_info */
1196         struct ftdi_private *priv = usb_get_serial_port_data(port);
1197         struct serial_struct new_serial;
1198         struct ftdi_private old_priv;
1199
1200         if (copy_from_user(&new_serial, newinfo, sizeof(new_serial)))
1201                 return -EFAULT;
1202
1203         lock_kernel();
1204         old_priv = *priv;
1205
1206         /* Do error checking and permission checking */
1207
1208         if (!capable(CAP_SYS_ADMIN)) {
1209                 if (((new_serial.flags & ~ASYNC_USR_MASK) !=
1210                      (priv->flags & ~ASYNC_USR_MASK))) {
1211                         unlock_kernel();
1212                         return -EPERM;
1213                 }
1214                 priv->flags = ((priv->flags & ~ASYNC_USR_MASK) |
1215                                (new_serial.flags & ASYNC_USR_MASK));
1216                 priv->custom_divisor = new_serial.custom_divisor;
1217                 goto check_and_exit;
1218         }
1219
1220         if ((new_serial.baud_base != priv->baud_base) &&
1221             (new_serial.baud_base < 9600)) {
1222                 unlock_kernel();
1223                 return -EINVAL;
1224         }
1225
1226         /* Make the changes - these are privileged changes! */
1227
1228         priv->flags = ((priv->flags & ~ASYNC_FLAGS) |
1229                                         (new_serial.flags & ASYNC_FLAGS));
1230         priv->custom_divisor = new_serial.custom_divisor;
1231
1232         tty->low_latency = (priv->flags & ASYNC_LOW_LATENCY) ? 1 : 0;
1233         write_latency_timer(port);
1234
1235 check_and_exit:
1236         if ((old_priv.flags & ASYNC_SPD_MASK) !=
1237              (priv->flags & ASYNC_SPD_MASK)) {
1238                 if ((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_HI)
1239                         tty->alt_speed = 57600;
1240                 else if ((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_VHI)
1241                         tty->alt_speed = 115200;
1242                 else if ((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_SHI)
1243                         tty->alt_speed = 230400;
1244                 else if ((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_WARP)
1245                         tty->alt_speed = 460800;
1246                 else
1247                         tty->alt_speed = 0;
1248         }
1249         if (((old_priv.flags & ASYNC_SPD_MASK) !=
1250              (priv->flags & ASYNC_SPD_MASK)) ||
1251             (((priv->flags & ASYNC_SPD_MASK) == ASYNC_SPD_CUST) &&
1252              (old_priv.custom_divisor != priv->custom_divisor))) {
1253                 unlock_kernel();
1254                 change_speed(tty, port);
1255         }
1256         else
1257                 unlock_kernel();
1258         return 0;
1259
1260 } /* set_serial_info */
1261
1262
1263 /* Determine type of FTDI chip based on USB config and descriptor. */
1264 static void ftdi_determine_type(struct usb_serial_port *port)
1265 {
1266         struct ftdi_private *priv = usb_get_serial_port_data(port);
1267         struct usb_serial *serial = port->serial;
1268         struct usb_device *udev = serial->dev;
1269         unsigned version;
1270         unsigned interfaces;
1271
1272         /* Assume it is not the original SIO device for now. */
1273         priv->baud_base = 48000000 / 2;
1274         priv->write_offset = 0;
1275
1276         version = le16_to_cpu(udev->descriptor.bcdDevice);
1277         interfaces = udev->actconfig->desc.bNumInterfaces;
1278         dbg("%s: bcdDevice = 0x%x, bNumInterfaces = %u", __func__,
1279                         version, interfaces);
1280         if (interfaces > 1) {
1281                 int inter;
1282
1283                 /* Multiple interfaces.*/
1284                 if (version == 0x0800) {
1285                         priv->chip_type = FT4232H;
1286                         /* Hi-speed - baud clock runs at 120MHz */
1287                         priv->baud_base = 120000000 / 2;
1288                 } else if (version == 0x0700) {
1289                         priv->chip_type = FT2232H;
1290                         /* Hi-speed - baud clock runs at 120MHz */
1291                         priv->baud_base = 120000000 / 2;
1292                 } else
1293                         priv->chip_type = FT2232C;
1294
1295                 /* Determine interface code. */
1296                 inter = serial->interface->altsetting->desc.bInterfaceNumber;
1297                 if (inter == 0) {
1298                         priv->interface = INTERFACE_A;
1299                 } else  if (inter == 1) {
1300                         priv->interface = INTERFACE_B;
1301                 } else  if (inter == 2) {
1302                         priv->interface = INTERFACE_C;
1303                 } else  if (inter == 3) {
1304                         priv->interface = INTERFACE_D;
1305                 }
1306                 /* BM-type devices have a bug where bcdDevice gets set
1307                  * to 0x200 when iSerialNumber is 0.  */
1308                 if (version < 0x500) {
1309                         dbg("%s: something fishy - bcdDevice too low for multi-interface device",
1310                                         __func__);
1311                 }
1312         } else if (version < 0x200) {
1313                 /* Old device.  Assume its the original SIO. */
1314                 priv->chip_type = SIO;
1315                 priv->baud_base = 12000000 / 16;
1316                 priv->write_offset = 1;
1317         } else if (version < 0x400) {
1318                 /* Assume its an FT8U232AM (or FT8U245AM) */
1319                 /* (It might be a BM because of the iSerialNumber bug,
1320                  * but it will still work as an AM device.) */
1321                 priv->chip_type = FT8U232AM;
1322         } else if (version < 0x600) {
1323                 /* Assume its an FT232BM (or FT245BM) */
1324                 priv->chip_type = FT232BM;
1325         } else {
1326                 /* Assume its an FT232R  */
1327                 priv->chip_type = FT232RL;
1328         }
1329         dev_info(&udev->dev, "Detected %s\n", ftdi_chip_name[priv->chip_type]);
1330 }
1331
1332
1333 /* Determine the maximum packet size for the device.  This depends on the chip
1334  * type and the USB host capabilities.  The value should be obtained from the
1335  * device descriptor as the chip will use the appropriate values for the host.*/
1336 static void ftdi_set_max_packet_size(struct usb_serial_port *port)
1337 {
1338         struct ftdi_private *priv = usb_get_serial_port_data(port);
1339         struct usb_serial *serial = port->serial;
1340         struct usb_device *udev = serial->dev;
1341
1342         struct usb_interface *interface = serial->interface;
1343         struct usb_endpoint_descriptor *ep_desc = &interface->cur_altsetting->endpoint[1].desc;
1344
1345         unsigned num_endpoints;
1346         int i = 0;
1347
1348         num_endpoints = interface->cur_altsetting->desc.bNumEndpoints;
1349         dev_info(&udev->dev, "Number of endpoints %d\n", num_endpoints);
1350
1351         /* NOTE: some customers have programmed FT232R/FT245R devices
1352          * with an endpoint size of 0 - not good.  In this case, we
1353          * want to override the endpoint descriptor setting and use a
1354          * value of 64 for wMaxPacketSize */
1355         for (i = 0; i < num_endpoints; i++) {
1356                 dev_info(&udev->dev, "Endpoint %d MaxPacketSize %d\n", i+1,
1357                         interface->cur_altsetting->endpoint[i].desc.wMaxPacketSize);
1358                 ep_desc = &interface->cur_altsetting->endpoint[i].desc;
1359                 if (ep_desc->wMaxPacketSize == 0) {
1360                         ep_desc->wMaxPacketSize = cpu_to_le16(0x40);
1361                         dev_info(&udev->dev, "Overriding wMaxPacketSize on endpoint %d\n", i);
1362                 }
1363         }
1364
1365         /* set max packet size based on descriptor */
1366         priv->max_packet_size = ep_desc->wMaxPacketSize;
1367
1368         dev_info(&udev->dev, "Setting MaxPacketSize %d\n", priv->max_packet_size);
1369 }
1370
1371
1372 /*
1373  * ***************************************************************************
1374  * Sysfs Attribute
1375  * ***************************************************************************
1376  */
1377
1378 static ssize_t show_latency_timer(struct device *dev,
1379                                 struct device_attribute *attr, char *buf)
1380 {
1381         struct usb_serial_port *port = to_usb_serial_port(dev);
1382         struct ftdi_private *priv = usb_get_serial_port_data(port);
1383         if (priv->flags & ASYNC_LOW_LATENCY)
1384                 return sprintf(buf, "1\n");
1385         else
1386                 return sprintf(buf, "%i\n", priv->latency);
1387 }
1388
1389
1390 /* Write a new value of the latency timer, in units of milliseconds. */
1391 static ssize_t store_latency_timer(struct device *dev,
1392                         struct device_attribute *attr, const char *valbuf,
1393                         size_t count)
1394 {
1395         struct usb_serial_port *port = to_usb_serial_port(dev);
1396         struct ftdi_private *priv = usb_get_serial_port_data(port);
1397         int v = simple_strtoul(valbuf, NULL, 10);
1398         int rv = 0;
1399
1400         priv->latency = v;
1401         rv = write_latency_timer(port);
1402         if (rv < 0)
1403                 return -EIO;
1404         return count;
1405 }
1406
1407 /* Write an event character directly to the FTDI register.  The ASCII
1408    value is in the low 8 bits, with the enable bit in the 9th bit. */
1409 static ssize_t store_event_char(struct device *dev,
1410         struct device_attribute *attr, const char *valbuf, size_t count)
1411 {
1412         struct usb_serial_port *port = to_usb_serial_port(dev);
1413         struct ftdi_private *priv = usb_get_serial_port_data(port);
1414         struct usb_device *udev = port->serial->dev;
1415         char buf[1];
1416         int v = simple_strtoul(valbuf, NULL, 10);
1417         int rv = 0;
1418
1419         dbg("%s: setting event char = %i", __func__, v);
1420
1421         rv = usb_control_msg(udev,
1422                              usb_sndctrlpipe(udev, 0),
1423                              FTDI_SIO_SET_EVENT_CHAR_REQUEST,
1424                              FTDI_SIO_SET_EVENT_CHAR_REQUEST_TYPE,
1425                              v, priv->interface,
1426                              buf, 0, WDR_TIMEOUT);
1427
1428         if (rv < 0) {
1429                 dbg("Unable to write event character: %i", rv);
1430                 return -EIO;
1431         }
1432
1433         return count;
1434 }
1435
1436 static DEVICE_ATTR(latency_timer, S_IWUSR | S_IRUGO, show_latency_timer,
1437                                                         store_latency_timer);
1438 static DEVICE_ATTR(event_char, S_IWUSR, NULL, store_event_char);
1439
1440 static int create_sysfs_attrs(struct usb_serial_port *port)
1441 {
1442         struct ftdi_private *priv = usb_get_serial_port_data(port);
1443         int retval = 0;
1444
1445         dbg("%s", __func__);
1446
1447         /* XXX I've no idea if the original SIO supports the event_char
1448          * sysfs parameter, so I'm playing it safe.  */
1449         if (priv->chip_type != SIO) {
1450                 dbg("sysfs attributes for %s", ftdi_chip_name[priv->chip_type]);
1451                 retval = device_create_file(&port->dev, &dev_attr_event_char);
1452                 if ((!retval) &&
1453                     (priv->chip_type == FT232BM ||
1454                      priv->chip_type == FT2232C ||
1455                      priv->chip_type == FT232RL ||
1456                      priv->chip_type == FT2232H ||
1457                      priv->chip_type == FT4232H)) {
1458                         retval = device_create_file(&port->dev,
1459                                                     &dev_attr_latency_timer);
1460                 }
1461         }
1462         return retval;
1463 }
1464
1465 static void remove_sysfs_attrs(struct usb_serial_port *port)
1466 {
1467         struct ftdi_private *priv = usb_get_serial_port_data(port);
1468
1469         dbg("%s", __func__);
1470
1471         /* XXX see create_sysfs_attrs */
1472         if (priv->chip_type != SIO) {
1473                 device_remove_file(&port->dev, &dev_attr_event_char);
1474                 if (priv->chip_type == FT232BM ||
1475                     priv->chip_type == FT2232C ||
1476                     priv->chip_type == FT232RL ||
1477                     priv->chip_type == FT2232H ||
1478                     priv->chip_type == FT4232H) {
1479                         device_remove_file(&port->dev, &dev_attr_latency_timer);
1480                 }
1481         }
1482
1483 }
1484
1485 /*
1486  * ***************************************************************************
1487  * FTDI driver specific functions
1488  * ***************************************************************************
1489  */
1490
1491 /* Probe function to check for special devices */
1492 static int ftdi_sio_probe(struct usb_serial *serial,
1493                                         const struct usb_device_id *id)
1494 {
1495         struct ftdi_sio_quirk *quirk =
1496                                 (struct ftdi_sio_quirk *)id->driver_info;
1497
1498         if (quirk && quirk->probe) {
1499                 int ret = quirk->probe(serial);
1500                 if (ret != 0)
1501                         return ret;
1502         }
1503
1504         usb_set_serial_data(serial, (void *)id->driver_info);
1505
1506         return 0;
1507 }
1508
1509 static int ftdi_sio_port_probe(struct usb_serial_port *port)
1510 {
1511         struct ftdi_private *priv;
1512         struct ftdi_sio_quirk *quirk = usb_get_serial_data(port->serial);
1513
1514
1515         dbg("%s", __func__);
1516
1517         priv = kzalloc(sizeof(struct ftdi_private), GFP_KERNEL);
1518         if (!priv) {
1519                 dev_err(&port->dev, "%s- kmalloc(%Zd) failed.\n", __func__,
1520                                         sizeof(struct ftdi_private));
1521                 return -ENOMEM;
1522         }
1523
1524         kref_init(&priv->kref);
1525         spin_lock_init(&priv->rx_lock);
1526         spin_lock_init(&priv->tx_lock);
1527         init_waitqueue_head(&priv->delta_msr_wait);
1528         /* This will push the characters through immediately rather
1529            than queue a task to deliver them */
1530         priv->flags = ASYNC_LOW_LATENCY;
1531
1532         if (quirk && quirk->port_probe)
1533                 quirk->port_probe(priv);
1534
1535         /* Increase the size of read buffers */
1536         kfree(port->bulk_in_buffer);
1537         port->bulk_in_buffer = kmalloc(BUFSZ, GFP_KERNEL);
1538         if (!port->bulk_in_buffer) {
1539                 kfree(priv);
1540                 return -ENOMEM;
1541         }
1542         if (port->read_urb) {
1543                 port->read_urb->transfer_buffer = port->bulk_in_buffer;
1544                 port->read_urb->transfer_buffer_length = BUFSZ;
1545         }
1546
1547         INIT_DELAYED_WORK(&priv->rx_work, ftdi_process_read);
1548         priv->port = port;
1549
1550         /* Free port's existing write urb and transfer buffer. */
1551         if (port->write_urb) {
1552                 usb_free_urb(port->write_urb);
1553                 port->write_urb = NULL;
1554         }
1555         kfree(port->bulk_out_buffer);
1556         port->bulk_out_buffer = NULL;
1557
1558         usb_set_serial_port_data(port, priv);
1559
1560         ftdi_determine_type(port);
1561         ftdi_set_max_packet_size(port);
1562         read_latency_timer(port);
1563         create_sysfs_attrs(port);
1564         return 0;
1565 }
1566
1567 /* Setup for the USB-UIRT device, which requires hardwired
1568  * baudrate (38400 gets mapped to 312500) */
1569 /* Called from usbserial:serial_probe */
1570 static void ftdi_USB_UIRT_setup(struct ftdi_private *priv)
1571 {
1572         dbg("%s", __func__);
1573
1574         priv->flags |= ASYNC_SPD_CUST;
1575         priv->custom_divisor = 77;
1576         priv->force_baud = 38400;
1577 } /* ftdi_USB_UIRT_setup */
1578
1579 /* Setup for the HE-TIRA1 device, which requires hardwired
1580  * baudrate (38400 gets mapped to 100000) and RTS-CTS enabled.  */
1581
1582 static void ftdi_HE_TIRA1_setup(struct ftdi_private *priv)
1583 {
1584         dbg("%s", __func__);
1585
1586         priv->flags |= ASYNC_SPD_CUST;
1587         priv->custom_divisor = 240;
1588         priv->force_baud = 38400;
1589         priv->force_rtscts = 1;
1590 } /* ftdi_HE_TIRA1_setup */
1591
1592 /*
1593  * Module parameter to control latency timer for NDI FTDI-based USB devices.
1594  * If this value is not set in modprobe.conf.local its value will be set to 1ms.
1595  */
1596 static int ndi_latency_timer = 1;
1597
1598 /* Setup for the NDI FTDI-based USB devices, which requires hardwired
1599  * baudrate (19200 gets mapped to 1200000).
1600  *
1601  * Called from usbserial:serial_probe.
1602  */
1603 static int ftdi_NDI_device_setup(struct usb_serial *serial)
1604 {
1605         struct usb_device *udev = serial->dev;
1606         int latency = ndi_latency_timer;
1607         int rv = 0;
1608         char buf[1];
1609
1610         if (latency == 0)
1611                 latency = 1;
1612         if (latency > 99)
1613                 latency = 99;
1614
1615         dbg("%s setting NDI device latency to %d", __func__, latency);
1616         dev_info(&udev->dev, "NDI device with a latency value of %d", latency);
1617
1618         rv = usb_control_msg(udev, usb_sndctrlpipe(udev, 0),
1619                                 FTDI_SIO_SET_LATENCY_TIMER_REQUEST,
1620                                 FTDI_SIO_SET_LATENCY_TIMER_REQUEST_TYPE,
1621                                 latency, 0, buf, 0, WDR_TIMEOUT);
1622         return 0;
1623 }
1624
1625 /*
1626  * First port on JTAG adaptors such as Olimex arm-usb-ocd or the FIC/OpenMoko
1627  * Neo1973 Debug Board is reserved for JTAG interface and can be accessed from
1628  * userspace using openocd.
1629  */
1630 static int ftdi_jtag_probe(struct usb_serial *serial)
1631 {
1632         struct usb_device *udev = serial->dev;
1633         struct usb_interface *interface = serial->interface;
1634
1635         dbg("%s", __func__);
1636
1637         if (interface == udev->actconfig->interface[0]) {
1638                 dev_info(&udev->dev,
1639                          "Ignoring serial port reserved for JTAG\n");
1640                 return -ENODEV;
1641         }
1642
1643         return 0;
1644 }
1645
1646 /*
1647  * The Matrix Orbital VK204-25-USB has an invalid IN endpoint.
1648  * We have to correct it if we want to read from it.
1649  */
1650 static int ftdi_mtxorb_hack_setup(struct usb_serial *serial)
1651 {
1652         struct usb_host_endpoint *ep = serial->dev->ep_in[1];
1653         struct usb_endpoint_descriptor *ep_desc = &ep->desc;
1654
1655         if (ep->enabled && ep_desc->wMaxPacketSize == 0) {
1656                 ep_desc->wMaxPacketSize = cpu_to_le16(0x40);
1657                 dev_info(&serial->dev->dev,
1658                          "Fixing invalid wMaxPacketSize on read pipe\n");
1659         }
1660
1661         return 0;
1662 }
1663
1664 static void ftdi_sio_priv_release(struct kref *k)
1665 {
1666         struct ftdi_private *priv = container_of(k, struct ftdi_private, kref);
1667
1668         kfree(priv);
1669 }
1670
1671 static int ftdi_sio_port_remove(struct usb_serial_port *port)
1672 {
1673         struct ftdi_private *priv = usb_get_serial_port_data(port);
1674
1675         dbg("%s", __func__);
1676
1677         remove_sysfs_attrs(port);
1678
1679         kref_put(&priv->kref, ftdi_sio_priv_release);
1680
1681         return 0;
1682 }
1683
1684 static int ftdi_open(struct tty_struct *tty, struct usb_serial_port *port)
1685 { /* ftdi_open */
1686         struct usb_device *dev = port->serial->dev;
1687         struct ftdi_private *priv = usb_get_serial_port_data(port);
1688         unsigned long flags;
1689
1690         int result = 0;
1691         char buf[1]; /* Needed for the usb_control_msg I think */
1692
1693         dbg("%s", __func__);
1694
1695         spin_lock_irqsave(&priv->tx_lock, flags);
1696         priv->tx_bytes = 0;
1697         spin_unlock_irqrestore(&priv->tx_lock, flags);
1698         spin_lock_irqsave(&priv->rx_lock, flags);
1699         priv->rx_bytes = 0;
1700         spin_unlock_irqrestore(&priv->rx_lock, flags);
1701
1702         if (tty)
1703                 tty->low_latency = (priv->flags & ASYNC_LOW_LATENCY) ? 1 : 0;
1704
1705         write_latency_timer(port);
1706
1707         /* No error checking for this (will get errors later anyway) */
1708         /* See ftdi_sio.h for description of what is reset */
1709         usb_control_msg(dev, usb_sndctrlpipe(dev, 0),
1710                         FTDI_SIO_RESET_REQUEST, FTDI_SIO_RESET_REQUEST_TYPE,
1711                         FTDI_SIO_RESET_SIO,
1712                         priv->interface, buf, 0, WDR_TIMEOUT);
1713
1714         /* Termios defaults are set by usb_serial_init. We don't change
1715            port->tty->termios - this would lose speed settings, etc.
1716            This is same behaviour as serial.c/rs_open() - Kuba */
1717
1718         /* ftdi_set_termios  will send usb control messages */
1719         if (tty)
1720                 ftdi_set_termios(tty, port, tty->termios);
1721
1722         /* Not throttled */
1723         spin_lock_irqsave(&priv->rx_lock, flags);
1724         priv->rx_flags &= ~(THROTTLED | ACTUALLY_THROTTLED);
1725         spin_unlock_irqrestore(&priv->rx_lock, flags);
1726
1727         /* Start reading from the device */
1728         priv->rx_processed = 0;
1729         usb_fill_bulk_urb(port->read_urb, dev,
1730                         usb_rcvbulkpipe(dev, port->bulk_in_endpointAddress),
1731                         port->read_urb->transfer_buffer,
1732                                 port->read_urb->transfer_buffer_length,
1733                         ftdi_read_bulk_callback, port);
1734         result = usb_submit_urb(port->read_urb, GFP_KERNEL);
1735         if (result)
1736                 dev_err(&port->dev,
1737                         "%s - failed submitting read urb, error %d\n",
1738                         __func__, result);
1739         else
1740                 kref_get(&priv->kref);
1741
1742         return result;
1743 } /* ftdi_open */
1744
1745
1746 static void ftdi_dtr_rts(struct usb_serial_port *port, int on)
1747 {
1748         struct ftdi_private *priv = usb_get_serial_port_data(port);
1749         char buf[1];
1750
1751         mutex_lock(&port->serial->disc_mutex);
1752         if (!port->serial->disconnected) {
1753                 /* Disable flow control */
1754                 if (!on && usb_control_msg(port->serial->dev,
1755                             usb_sndctrlpipe(port->serial->dev, 0),
1756                             FTDI_SIO_SET_FLOW_CTRL_REQUEST,
1757                             FTDI_SIO_SET_FLOW_CTRL_REQUEST_TYPE,
1758                             0, priv->interface, buf, 0,
1759                             WDR_TIMEOUT) < 0) {
1760                             dev_err(&port->dev, "error from flowcontrol urb\n");
1761                 }
1762                 /* drop RTS and DTR */
1763                 if (on)
1764                         set_mctrl(port, TIOCM_DTR | TIOCM_RTS);
1765                 else
1766                         clear_mctrl(port, TIOCM_DTR | TIOCM_RTS);
1767         }
1768         mutex_unlock(&port->serial->disc_mutex);
1769 }
1770
1771 /*
1772  * usbserial:__serial_close  only calls ftdi_close if the point is open
1773  *
1774  *   This only gets called when it is the last close
1775  *
1776  *
1777  */
1778
1779 static void ftdi_close(struct usb_serial_port *port)
1780 { /* ftdi_close */
1781         struct ftdi_private *priv = usb_get_serial_port_data(port);
1782
1783         dbg("%s", __func__);
1784
1785
1786         /* cancel any scheduled reading */
1787         cancel_delayed_work_sync(&priv->rx_work);
1788
1789         /* shutdown our bulk read */
1790         usb_kill_urb(port->read_urb);
1791         kref_put(&priv->kref, ftdi_sio_priv_release);
1792 } /* ftdi_close */
1793
1794
1795
1796 /* The SIO requires the first byte to have:
1797  *  B0 1
1798  *  B1 0
1799  *  B2..7 length of message excluding byte 0
1800  *
1801  * The new devices do not require this byte
1802  */
1803 static int ftdi_write(struct tty_struct *tty, struct usb_serial_port *port,
1804                            const unsigned char *buf, int count)
1805 { /* ftdi_write */
1806         struct ftdi_private *priv = usb_get_serial_port_data(port);
1807         struct urb *urb;
1808         unsigned char *buffer;
1809         int data_offset ;       /* will be 1 for the SIO and 0 otherwise */
1810         int status;
1811         int transfer_size;
1812         unsigned long flags;
1813
1814         dbg("%s port %d, %d bytes", __func__, port->number, count);
1815
1816         if (count == 0) {
1817                 dbg("write request of 0 bytes");
1818                 return 0;
1819         }
1820         spin_lock_irqsave(&priv->tx_lock, flags);
1821         if (priv->tx_outstanding_urbs > URB_UPPER_LIMIT) {
1822                 spin_unlock_irqrestore(&priv->tx_lock, flags);
1823                 dbg("%s - write limit hit\n", __func__);
1824                 return 0;
1825         }
1826         priv->tx_outstanding_urbs++;
1827         spin_unlock_irqrestore(&priv->tx_lock, flags);
1828
1829         data_offset = priv->write_offset;
1830         dbg("data_offset set to %d", data_offset);
1831
1832         /* Determine total transfer size */
1833         transfer_size = count;
1834         if (data_offset > 0) {
1835                 /* Original sio needs control bytes too... */
1836                 transfer_size += (data_offset *
1837                                 ((count + (priv->max_packet_size - 1 - data_offset)) /
1838                                  (priv->max_packet_size - data_offset)));
1839         }
1840
1841         buffer = kmalloc(transfer_size, GFP_ATOMIC);
1842         if (!buffer) {
1843                 dev_err(&port->dev,
1844                         "%s ran out of kernel memory for urb ...\n", __func__);
1845                 count = -ENOMEM;
1846                 goto error_no_buffer;
1847         }
1848
1849         urb = usb_alloc_urb(0, GFP_ATOMIC);
1850         if (!urb) {
1851                 dev_err(&port->dev, "%s - no more free urbs\n", __func__);
1852                 count = -ENOMEM;
1853                 goto error_no_urb;
1854         }
1855
1856         /* Copy data */
1857         if (data_offset > 0) {
1858                 /* Original sio requires control byte at start of
1859                    each packet. */
1860                 int user_pktsz = priv->max_packet_size - data_offset;
1861                 int todo = count;
1862                 unsigned char *first_byte = buffer;
1863                 const unsigned char *current_position = buf;
1864
1865                 while (todo > 0) {
1866                         if (user_pktsz > todo)
1867                                 user_pktsz = todo;
1868                         /* Write the control byte at the front of the packet*/
1869                         *first_byte = 1 | ((user_pktsz) << 2);
1870                         /* Copy data for packet */
1871                         memcpy(first_byte + data_offset,
1872                                 current_position, user_pktsz);
1873                         first_byte += user_pktsz + data_offset;
1874                         current_position += user_pktsz;
1875                         todo -= user_pktsz;
1876                 }
1877         } else {
1878                 /* No control byte required. */
1879                 /* Copy in the data to send */
1880                 memcpy(buffer, buf, count);
1881         }
1882
1883         usb_serial_debug_data(debug, &port->dev, __func__,
1884                                                 transfer_size, buffer);
1885
1886         /* fill the buffer and send it */
1887         usb_fill_bulk_urb(urb, port->serial->dev,
1888                         usb_sndbulkpipe(port->serial->dev,
1889                                         port->bulk_out_endpointAddress),
1890                         buffer, transfer_size,
1891                         ftdi_write_bulk_callback, port);
1892
1893         status = usb_submit_urb(urb, GFP_ATOMIC);
1894         if (status) {
1895                 dev_err(&port->dev,
1896                         "%s - failed submitting write urb, error %d\n",
1897                         __func__, status);
1898                 count = status;
1899                 goto error;
1900         } else {
1901                 spin_lock_irqsave(&priv->tx_lock, flags);
1902                 priv->tx_outstanding_bytes += count;
1903                 priv->tx_bytes += count;
1904                 spin_unlock_irqrestore(&priv->tx_lock, flags);
1905         }
1906
1907         /* we are done with this urb, so let the host driver
1908          * really free it when it is finished with it */
1909         usb_free_urb(urb);
1910
1911         dbg("%s write returning: %d", __func__, count);
1912         return count;
1913 error:
1914         usb_free_urb(urb);
1915 error_no_urb:
1916         kfree(buffer);
1917 error_no_buffer:
1918         spin_lock_irqsave(&priv->tx_lock, flags);
1919         priv->tx_outstanding_urbs--;
1920         spin_unlock_irqrestore(&priv->tx_lock, flags);
1921         return count;
1922 } /* ftdi_write */
1923
1924
1925 /* This function may get called when the device is closed */
1926
1927 static void ftdi_write_bulk_callback(struct urb *urb)
1928 {
1929         unsigned long flags;
1930         struct usb_serial_port *port = urb->context;
1931         struct ftdi_private *priv;
1932         int data_offset;       /* will be 1 for the SIO and 0 otherwise */
1933         unsigned long countback;
1934         int status = urb->status;
1935
1936         /* free up the transfer buffer, as usb_free_urb() does not do this */
1937         kfree(urb->transfer_buffer);
1938
1939         dbg("%s - port %d", __func__, port->number);
1940
1941         priv = usb_get_serial_port_data(port);
1942         if (!priv) {
1943                 dbg("%s - bad port private data pointer - exiting", __func__);
1944                 return;
1945         }
1946         /* account for transferred data */
1947         countback = urb->actual_length;
1948         data_offset = priv->write_offset;
1949         if (data_offset > 0) {
1950                 /* Subtract the control bytes */
1951                 countback -= (data_offset * DIV_ROUND_UP(countback, priv->max_packet_size));
1952         }
1953         spin_lock_irqsave(&priv->tx_lock, flags);
1954         --priv->tx_outstanding_urbs;
1955         priv->tx_outstanding_bytes -= countback;
1956         spin_unlock_irqrestore(&priv->tx_lock, flags);
1957
1958         if (status) {
1959                 dbg("nonzero write bulk status received: %d", status);
1960                 return;
1961         }
1962
1963         usb_serial_port_softint(port);
1964 } /* ftdi_write_bulk_callback */
1965
1966
1967 static int ftdi_write_room(struct tty_struct *tty)
1968 {
1969         struct usb_serial_port *port = tty->driver_data;
1970         struct ftdi_private *priv = usb_get_serial_port_data(port);
1971         int room;
1972         unsigned long flags;
1973
1974         dbg("%s - port %d", __func__, port->number);
1975
1976         spin_lock_irqsave(&priv->tx_lock, flags);
1977         if (priv->tx_outstanding_urbs < URB_UPPER_LIMIT) {
1978                 /*
1979                  * We really can take anything the user throws at us
1980                  * but let's pick a nice big number to tell the tty
1981                  * layer that we have lots of free space
1982                  */
1983                 room = 2048;
1984         } else {
1985                 room = 0;
1986         }
1987         spin_unlock_irqrestore(&priv->tx_lock, flags);
1988         return room;
1989 }
1990
1991 static int ftdi_chars_in_buffer(struct tty_struct *tty)
1992 {
1993         struct usb_serial_port *port = tty->driver_data;
1994         struct ftdi_private *priv = usb_get_serial_port_data(port);
1995         int buffered;
1996         unsigned long flags;
1997
1998         dbg("%s - port %d", __func__, port->number);
1999
2000         spin_lock_irqsave(&priv->tx_lock, flags);
2001         buffered = (int)priv->tx_outstanding_bytes;
2002         spin_unlock_irqrestore(&priv->tx_lock, flags);
2003         if (buffered < 0) {
2004                 dev_err(&port->dev, "%s outstanding tx bytes is negative!\n",
2005                         __func__);
2006                 buffered = 0;
2007         }
2008         return buffered;
2009 }
2010
2011 static void ftdi_read_bulk_callback(struct urb *urb)
2012 {
2013         struct usb_serial_port *port = urb->context;
2014         struct tty_struct *tty;
2015         struct ftdi_private *priv;
2016         unsigned long countread;
2017         unsigned long flags;
2018         int status = urb->status;
2019
2020         if (urb->number_of_packets > 0) {
2021                 dev_err(&port->dev, "%s transfer_buffer_length %d "
2022                         "actual_length %d number of packets %d\n", __func__,
2023                         urb->transfer_buffer_length,
2024                         urb->actual_length, urb->number_of_packets);
2025                 dev_err(&port->dev, "%s transfer_flags %x\n", __func__,
2026                         urb->transfer_flags);
2027         }
2028
2029         dbg("%s - port %d", __func__, port->number);
2030
2031         if (port->port.count <= 0)
2032                 return;
2033
2034         tty = tty_port_tty_get(&port->port);
2035         if (!tty) {
2036                 dbg("%s - bad tty pointer - exiting", __func__);
2037                 return;
2038         }
2039
2040         priv = usb_get_serial_port_data(port);
2041         if (!priv) {
2042                 dbg("%s - bad port private data pointer - exiting", __func__);
2043                 goto out;
2044         }
2045
2046         if (urb != port->read_urb)
2047                 dev_err(&port->dev, "%s - Not my urb!\n", __func__);
2048
2049         if (status) {
2050                 /* This will happen at close every time so it is a dbg not an
2051                    err */
2052                 dbg("(this is ok on close) nonzero read bulk status received: %d", status);
2053                 goto out;
2054         }
2055
2056         /* count data bytes, but not status bytes */
2057         countread = urb->actual_length;
2058         countread -= 2 * DIV_ROUND_UP(countread, priv->max_packet_size);
2059         spin_lock_irqsave(&priv->rx_lock, flags);
2060         priv->rx_bytes += countread;
2061         spin_unlock_irqrestore(&priv->rx_lock, flags);
2062
2063         ftdi_process_read(&priv->rx_work.work);
2064 out:
2065         tty_kref_put(tty);
2066 } /* ftdi_read_bulk_callback */
2067
2068
2069 static void ftdi_process_read(struct work_struct *work)
2070 { /* ftdi_process_read */
2071         struct ftdi_private *priv =
2072                 container_of(work, struct ftdi_private, rx_work.work);
2073         struct usb_serial_port *port = priv->port;
2074         struct urb *urb;
2075         struct tty_struct *tty;
2076         char error_flag;
2077         unsigned char *data;
2078
2079         int i;
2080         int result;
2081         int need_flip;
2082         int packet_offset;
2083         unsigned long flags;
2084
2085         dbg("%s - port %d", __func__, port->number);
2086
2087         if (port->port.count <= 0)
2088                 return;
2089
2090         tty = tty_port_tty_get(&port->port);
2091         if (!tty) {
2092                 dbg("%s - bad tty pointer - exiting", __func__);
2093                 return;
2094         }
2095
2096         priv = usb_get_serial_port_data(port);
2097         if (!priv) {
2098                 dbg("%s - bad port private data pointer - exiting", __func__);
2099                 goto out;
2100         }
2101
2102         urb = port->read_urb;
2103         if (!urb) {
2104                 dbg("%s - bad read_urb pointer - exiting", __func__);
2105                 goto out;
2106         }
2107
2108         data = urb->transfer_buffer;
2109
2110         if (priv->rx_processed) {
2111                 dbg("%s - already processed: %d bytes, %d remain", __func__,
2112                                 priv->rx_processed,
2113                                 urb->actual_length - priv->rx_processed);
2114         } else {
2115                 /* The first two bytes of every read packet are status */
2116                 if (urb->actual_length > 2)
2117                         usb_serial_debug_data(debug, &port->dev, __func__,
2118                                                 urb->actual_length, data);
2119                 else
2120                         dbg("Status only: %03oo %03oo", data[0], data[1]);
2121         }
2122
2123
2124         /* TO DO -- check for hung up line and handle appropriately: */
2125         /*   send hangup  */
2126         /* See acm.c - you do a tty_hangup  - eg tty_hangup(tty) */
2127         /* if CD is dropped and the line is not CLOCAL then we should hangup */
2128
2129         need_flip = 0;
2130         for (packet_offset = priv->rx_processed;
2131                 packet_offset < urb->actual_length; packet_offset += priv->max_packet_size) {
2132                 int length;
2133
2134                 /* Compare new line status to the old one, signal if different/
2135                    N.B. packet may be processed more than once, but differences
2136                    are only processed once.  */
2137                 char new_status = data[packet_offset + 0] &
2138                                                 FTDI_STATUS_B0_MASK;
2139                 if (new_status != priv->prev_status) {
2140                         priv->diff_status |=
2141                                 new_status ^ priv->prev_status;
2142                         wake_up_interruptible(&priv->delta_msr_wait);
2143                         priv->prev_status = new_status;
2144                 }
2145
2146                 length = min_t(u32, priv->max_packet_size, urb->actual_length-packet_offset)-2;
2147                 if (length < 0) {
2148                         dev_err(&port->dev, "%s - bad packet length: %d\n",
2149                                 __func__, length+2);
2150                         length = 0;
2151                 }
2152
2153                 if (priv->rx_flags & THROTTLED) {
2154                         dbg("%s - throttled", __func__);
2155                         break;
2156                 }
2157                 if (tty_buffer_request_room(tty, length) < length) {
2158                         /* break out & wait for throttling/unthrottling to
2159                            happen */
2160                         dbg("%s - receive room low", __func__);
2161                         break;
2162                 }
2163
2164                 /* Handle errors and break */
2165                 error_flag = TTY_NORMAL;
2166                 /* Although the device uses a bitmask and hence can have
2167                    multiple errors on a packet - the order here sets the
2168                    priority the error is returned to the tty layer  */
2169
2170                 if (data[packet_offset+1] & FTDI_RS_OE) {
2171                         error_flag = TTY_OVERRUN;
2172                         dbg("OVERRRUN error");
2173                 }
2174                 if (data[packet_offset+1] & FTDI_RS_BI) {
2175                         error_flag = TTY_BREAK;
2176                         dbg("BREAK received");
2177                         usb_serial_handle_break(port);
2178                 }
2179                 if (data[packet_offset+1] & FTDI_RS_PE) {
2180                         error_flag = TTY_PARITY;
2181                         dbg("PARITY error");
2182                 }
2183                 if (data[packet_offset+1] & FTDI_RS_FE) {
2184                         error_flag = TTY_FRAME;
2185                         dbg("FRAMING error");
2186                 }
2187                 if (length > 0) {
2188                         for (i = 2; i < length+2; i++) {
2189                                 /* Note that the error flag is duplicated for
2190                                    every character received since we don't know
2191                                    which character it applied to */
2192                                 if (!usb_serial_handle_sysrq_char(tty, port,
2193                                                 data[packet_offset + i]))
2194                                         tty_insert_flip_char(tty,
2195                                                 data[packet_offset + i],
2196                                                 error_flag);
2197                         }
2198                         need_flip = 1;
2199                 }
2200
2201 #ifdef NOT_CORRECT_BUT_KEEPING_IT_FOR_NOW
2202                 /* if a parity error is detected you get status packets forever
2203                    until a character is sent without a parity error.
2204                    This doesn't work well since the application receives a
2205                    never ending stream of bad data - even though new data
2206                    hasn't been sent. Therefore I (bill) have taken this out.
2207                    However - this might make sense for framing errors and so on
2208                    so I am leaving the code in for now.
2209                 */
2210                 else {
2211                         if (error_flag != TTY_NORMAL) {
2212                                 dbg("error_flag is not normal");
2213                                 /* In this case it is just status - if that is
2214                                    an error send a bad character */
2215                                 if (tty->flip.count >= TTY_FLIPBUF_SIZE)
2216                                         tty_flip_buffer_push(tty);
2217                                 tty_insert_flip_char(tty, 0xff, error_flag);
2218                                 need_flip = 1;
2219                         }
2220                 }
2221 #endif
2222         } /* "for(packet_offset=0..." */
2223
2224         /* Low latency */
2225         if (need_flip)
2226                 tty_flip_buffer_push(tty);
2227
2228         if (packet_offset < urb->actual_length) {
2229                 /* not completely processed - record progress */
2230                 priv->rx_processed = packet_offset;
2231                 dbg("%s - incomplete, %d bytes processed, %d remain",
2232                                 __func__, packet_offset,
2233                                 urb->actual_length - packet_offset);
2234                 /* check if we were throttled while processing */
2235                 spin_lock_irqsave(&priv->rx_lock, flags);
2236                 if (priv->rx_flags & THROTTLED) {
2237                         priv->rx_flags |= ACTUALLY_THROTTLED;
2238                         spin_unlock_irqrestore(&priv->rx_lock, flags);
2239                         dbg("%s - deferring remainder until unthrottled",
2240                                         __func__);
2241                         goto out;
2242                 }
2243                 spin_unlock_irqrestore(&priv->rx_lock, flags);
2244                 /* if the port is closed stop trying to read */
2245                 if (port->port.count > 0)
2246                         /* delay processing of remainder */
2247                         schedule_delayed_work(&priv->rx_work, 1);
2248                 else
2249                         dbg("%s - port is closed", __func__);
2250                 goto out;
2251         }
2252
2253         /* urb is completely processed */
2254         priv->rx_processed = 0;
2255
2256         /* if the port is closed stop trying to read */
2257         if (port->port.count > 0) {
2258                 /* Continue trying to always read  */
2259                 usb_fill_bulk_urb(port->read_urb, port->serial->dev,
2260                         usb_rcvbulkpipe(port->serial->dev,
2261                                         port->bulk_in_endpointAddress),
2262                         port->read_urb->transfer_buffer,
2263                         port->read_urb->transfer_buffer_length,
2264                         ftdi_read_bulk_callback, port);
2265
2266                 result = usb_submit_urb(port->read_urb, GFP_ATOMIC);
2267                 if (result)
2268                         dev_err(&port->dev,
2269                                 "%s - failed resubmitting read urb, error %d\n",
2270                                 __func__, result);
2271         }
2272 out:
2273         tty_kref_put(tty);
2274 } /* ftdi_process_read */
2275
2276
2277 static void ftdi_break_ctl(struct tty_struct *tty, int break_state)
2278 {
2279         struct usb_serial_port *port = tty->driver_data;
2280         struct ftdi_private *priv = usb_get_serial_port_data(port);
2281         __u16 urb_value = 0;
2282         char buf[1];
2283
2284         /* break_state = -1 to turn on break, and 0 to turn off break */
2285         /* see drivers/char/tty_io.c to see it used */
2286         /* last_set_data_urb_value NEVER has the break bit set in it */
2287
2288         if (break_state)
2289                 urb_value = priv->last_set_data_urb_value | FTDI_SIO_SET_BREAK;
2290         else
2291                 urb_value = priv->last_set_data_urb_value;
2292
2293         if (usb_control_msg(port->serial->dev,
2294                         usb_sndctrlpipe(port->serial->dev, 0),
2295                         FTDI_SIO_SET_DATA_REQUEST,
2296                         FTDI_SIO_SET_DATA_REQUEST_TYPE,
2297                         urb_value , priv->interface,
2298                         buf, 0, WDR_TIMEOUT) < 0) {
2299                 dev_err(&port->dev, "%s FAILED to enable/disable break state "
2300                         "(state was %d)\n", __func__, break_state);
2301         }
2302
2303         dbg("%s break state is %d - urb is %d", __func__,
2304                                                 break_state, urb_value);
2305
2306 }
2307
2308
2309 /* old_termios contains the original termios settings and tty->termios contains
2310  * the new setting to be used
2311  * WARNING: set_termios calls this with old_termios in kernel space
2312  */
2313
2314 static void ftdi_set_termios(struct tty_struct *tty,
2315                 struct usb_serial_port *port, struct ktermios *old_termios)
2316 { /* ftdi_termios */
2317         struct usb_device *dev = port->serial->dev;
2318         struct ftdi_private *priv = usb_get_serial_port_data(port);
2319         struct ktermios *termios = tty->termios;
2320         unsigned int cflag = termios->c_cflag;
2321         __u16 urb_value; /* will hold the new flags */
2322         char buf[1]; /* Perhaps I should dynamically alloc this? */
2323
2324         /* Added for xon/xoff support */
2325         unsigned int iflag = termios->c_iflag;
2326         unsigned char vstop;
2327         unsigned char vstart;
2328
2329         dbg("%s", __func__);
2330
2331         /* Force baud rate if this device requires it, unless it is set to
2332            B0. */
2333         if (priv->force_baud && ((termios->c_cflag & CBAUD) != B0)) {
2334                 dbg("%s: forcing baud rate for this device", __func__);
2335                 tty_encode_baud_rate(tty, priv->force_baud,
2336                                         priv->force_baud);
2337         }
2338
2339         /* Force RTS-CTS if this device requires it. */
2340         if (priv->force_rtscts) {
2341                 dbg("%s: forcing rtscts for this device", __func__);
2342                 termios->c_cflag |= CRTSCTS;
2343         }
2344
2345         cflag = termios->c_cflag;
2346
2347         /* FIXME -For this cut I don't care if the line is really changing or
2348            not  - so just do the change regardless  - should be able to
2349            compare old_termios and tty->termios */
2350         /* NOTE These routines can get interrupted by
2351            ftdi_sio_read_bulk_callback  - need to examine what this means -
2352            don't see any problems yet */
2353
2354         /* Set number of data bits, parity, stop bits */
2355
2356         termios->c_cflag &= ~CMSPAR;
2357
2358         urb_value = 0;
2359         urb_value |= (cflag & CSTOPB ? FTDI_SIO_SET_DATA_STOP_BITS_2 :
2360                       FTDI_SIO_SET_DATA_STOP_BITS_1);
2361         urb_value |= (cflag & PARENB ?
2362                       (cflag & PARODD ? FTDI_SIO_SET_DATA_PARITY_ODD :
2363                        FTDI_SIO_SET_DATA_PARITY_EVEN) :
2364                       FTDI_SIO_SET_DATA_PARITY_NONE);
2365         if (cflag & CSIZE) {
2366                 switch (cflag & CSIZE) {
2367                 case CS5: urb_value |= 5; dbg("Setting CS5"); break;
2368                 case CS6: urb_value |= 6; dbg("Setting CS6"); break;
2369                 case CS7: urb_value |= 7; dbg("Setting CS7"); break;
2370                 case CS8: urb_value |= 8; dbg("Setting CS8"); break;
2371                 default:
2372                         dev_err(&port->dev, "CSIZE was set but not CS5-CS8\n");
2373                 }
2374         }
2375
2376         /* This is needed by the break command since it uses the same command
2377            - but is or'ed with this value  */
2378         priv->last_set_data_urb_value = urb_value;
2379
2380         if (usb_control_msg(dev, usb_sndctrlpipe(dev, 0),
2381                             FTDI_SIO_SET_DATA_REQUEST,
2382                             FTDI_SIO_SET_DATA_REQUEST_TYPE,
2383                             urb_value , priv->interface,
2384                             buf, 0, WDR_SHORT_TIMEOUT) < 0) {
2385                 dev_err(&port->dev, "%s FAILED to set "
2386                         "databits/stopbits/parity\n", __func__);
2387         }
2388
2389         /* Now do the baudrate */
2390         if ((cflag & CBAUD) == B0) {
2391                 /* Disable flow control */
2392                 if (usb_control_msg(dev, usb_sndctrlpipe(dev, 0),
2393                                     FTDI_SIO_SET_FLOW_CTRL_REQUEST,
2394                                     FTDI_SIO_SET_FLOW_CTRL_REQUEST_TYPE,
2395                                     0, priv->interface,
2396                                     buf, 0, WDR_TIMEOUT) < 0) {
2397                         dev_err(&port->dev,
2398                                 "%s error from disable flowcontrol urb\n",
2399                                 __func__);
2400                 }
2401                 /* Drop RTS and DTR */
2402                 clear_mctrl(port, TIOCM_DTR | TIOCM_RTS);
2403         } else {
2404                 /* set the baudrate determined before */
2405                 if (change_speed(tty, port))
2406                         dev_err(&port->dev, "%s urb failed to set baudrate\n",
2407                                 __func__);
2408                 /* Ensure RTS and DTR are raised when baudrate changed from 0 */
2409                 if (!old_termios || (old_termios->c_cflag & CBAUD) == B0)
2410                         set_mctrl(port, TIOCM_DTR | TIOCM_RTS);
2411         }
2412
2413         /* Set flow control */
2414         /* Note device also supports DTR/CD (ugh) and Xon/Xoff in hardware */
2415         if (cflag & CRTSCTS) {
2416                 dbg("%s Setting to CRTSCTS flow control", __func__);
2417                 if (usb_control_msg(dev,
2418                                     usb_sndctrlpipe(dev, 0),
2419                                     FTDI_SIO_SET_FLOW_CTRL_REQUEST,
2420                                     FTDI_SIO_SET_FLOW_CTRL_REQUEST_TYPE,
2421                                     0 , (FTDI_SIO_RTS_CTS_HS | priv->interface),
2422                                     buf, 0, WDR_TIMEOUT) < 0) {
2423                         dev_err(&port->dev,
2424                                 "urb failed to set to rts/cts flow control\n");
2425                 }
2426
2427         } else {
2428                 /*
2429                  * Xon/Xoff code
2430                  *
2431                  * Check the IXOFF status in the iflag component of the
2432                  * termios structure. If IXOFF is not set, the pre-xon/xoff
2433                  * code is executed.
2434                  */
2435                 if (iflag & IXOFF) {
2436                         dbg("%s  request to enable xonxoff iflag=%04x",
2437                                                         __func__, iflag);
2438                         /* Try to enable the XON/XOFF on the ftdi_sio
2439                          * Set the vstart and vstop -- could have been done up
2440                          * above where a lot of other dereferencing is done but
2441                          * that would be very inefficient as vstart and vstop
2442                          * are not always needed.
2443                          */
2444                         vstart = termios->c_cc[VSTART];
2445                         vstop = termios->c_cc[VSTOP];
2446                         urb_value = (vstop << 8) | (vstart);
2447
2448                         if (usb_control_msg(dev,
2449                                             usb_sndctrlpipe(dev, 0),
2450                                             FTDI_SIO_SET_FLOW_CTRL_REQUEST,
2451                                             FTDI_SIO_SET_FLOW_CTRL_REQUEST_TYPE,
2452                                             urb_value , (FTDI_SIO_XON_XOFF_HS
2453                                                          | priv->interface),
2454                                             buf, 0, WDR_TIMEOUT) < 0) {
2455                                 dev_err(&port->dev, "urb failed to set to "
2456                                         "xon/xoff flow control\n");
2457                         }
2458                 } else {
2459                         /* else clause to only run if cflag ! CRTSCTS and iflag
2460                          * ! XOFF. CHECKME Assuming XON/XOFF handled by tty
2461                          * stack - not by device */
2462                         dbg("%s Turning off hardware flow control", __func__);
2463                         if (usb_control_msg(dev,
2464                                             usb_sndctrlpipe(dev, 0),
2465                                             FTDI_SIO_SET_FLOW_CTRL_REQUEST,
2466                                             FTDI_SIO_SET_FLOW_CTRL_REQUEST_TYPE,
2467                                             0, priv->interface,
2468                                             buf, 0, WDR_TIMEOUT) < 0) {
2469                                 dev_err(&port->dev,
2470                                         "urb failed to clear flow control\n");
2471                         }
2472                 }
2473
2474         }
2475         return;
2476 }
2477
2478 static int ftdi_tiocmget(struct tty_struct *tty, struct file *file)
2479 {
2480         struct usb_serial_port *port = tty->driver_data;
2481         struct ftdi_private *priv = usb_get_serial_port_data(port);
2482         unsigned char buf[2];
2483         int ret;
2484
2485         dbg("%s TIOCMGET", __func__);
2486         switch (priv->chip_type) {
2487         case SIO:
2488                 /* Request the status from the device */
2489                 ret = usb_control_msg(port->serial->dev,
2490                            usb_rcvctrlpipe(port->serial->dev, 0),
2491                            FTDI_SIO_GET_MODEM_STATUS_REQUEST,
2492                            FTDI_SIO_GET_MODEM_STATUS_REQUEST_TYPE,
2493                            0, 0,
2494                            buf, 1, WDR_TIMEOUT);
2495                 if (ret < 0)
2496                         return ret;
2497                 break;
2498         case FT8U232AM:
2499         case FT232BM:
2500         case FT2232C:
2501         case FT232RL:
2502         case FT2232H:
2503         case FT4232H:
2504                 /* the 8U232AM returns a two byte value (the sio is a 1 byte
2505                    value) - in the same format as the data returned from the in
2506                    point */
2507                 ret = usb_control_msg(port->serial->dev,
2508                                    usb_rcvctrlpipe(port->serial->dev, 0),
2509                                    FTDI_SIO_GET_MODEM_STATUS_REQUEST,
2510                                    FTDI_SIO_GET_MODEM_STATUS_REQUEST_TYPE,
2511                                    0, priv->interface,
2512                                    buf, 2, WDR_TIMEOUT);
2513                 if (ret < 0)
2514                         return ret;
2515                 break;
2516         default:
2517                 return -EFAULT;
2518         }
2519
2520         return  (buf[0] & FTDI_SIO_DSR_MASK ? TIOCM_DSR : 0) |
2521                 (buf[0] & FTDI_SIO_CTS_MASK ? TIOCM_CTS : 0) |
2522                 (buf[0]  & FTDI_SIO_RI_MASK  ? TIOCM_RI  : 0) |
2523                 (buf[0]  & FTDI_SIO_RLSD_MASK ? TIOCM_CD  : 0) |
2524                 priv->last_dtr_rts;
2525 }
2526
2527 static int ftdi_tiocmset(struct tty_struct *tty, struct file *file,
2528                         unsigned int set, unsigned int clear)
2529 {
2530         struct usb_serial_port *port = tty->driver_data;
2531         dbg("%s TIOCMSET", __func__);
2532         return update_mctrl(port, set, clear);
2533 }
2534
2535
2536 static int ftdi_ioctl(struct tty_struct *tty, struct file *file,
2537                                         unsigned int cmd, unsigned long arg)
2538 {
2539         struct usb_serial_port *port = tty->driver_data;
2540         struct ftdi_private *priv = usb_get_serial_port_data(port);
2541
2542         dbg("%s cmd 0x%04x", __func__, cmd);
2543
2544         /* Based on code from acm.c and others */
2545         switch (cmd) {
2546
2547         case TIOCGSERIAL: /* gets serial port data */
2548                 return get_serial_info(port,
2549                                         (struct serial_struct __user *) arg);
2550
2551         case TIOCSSERIAL: /* sets serial port data */
2552                 return set_serial_info(tty, port,
2553                                         (struct serial_struct __user *) arg);
2554
2555         /*
2556          * Wait for any of the 4 modem inputs (DCD,RI,DSR,CTS) to change
2557          * - mask passed in arg for lines of interest
2558          *   (use |'ed TIOCM_RNG/DSR/CD/CTS for masking)
2559          * Caller should use TIOCGICOUNT to see which one it was.
2560          *
2561          * This code is borrowed from linux/drivers/char/serial.c
2562          */
2563         case TIOCMIWAIT:
2564                 while (priv != NULL) {
2565                         interruptible_sleep_on(&priv->delta_msr_wait);
2566                         /* see if a signal did it */
2567                         if (signal_pending(current))
2568                                 return -ERESTARTSYS;
2569                         else {
2570                                 char diff = priv->diff_status;
2571
2572                                 if (diff == 0)
2573                                         return -EIO; /* no change => error */
2574
2575                                 /* Consume all events */
2576                                 priv->diff_status = 0;
2577
2578                                 /* Return 0 if caller wanted to know about
2579                                    these bits */
2580                                 if (((arg & TIOCM_RNG) && (diff & FTDI_RS0_RI)) ||
2581                                     ((arg & TIOCM_DSR) && (diff & FTDI_RS0_DSR)) ||
2582                                     ((arg & TIOCM_CD)  && (diff & FTDI_RS0_RLSD)) ||
2583                                     ((arg & TIOCM_CTS) && (diff & FTDI_RS0_CTS))) {
2584                                         return 0;
2585                                 }
2586                                 /*
2587                                  * Otherwise caller can't care less about what
2588                                  * happened,and so we continue to wait for more
2589                                  * events.
2590                                  */
2591                         }
2592                 }
2593                 return 0;
2594         default:
2595                 break;
2596         }
2597         /* This is not necessarily an error - turns out the higher layers
2598          * will do some ioctls themselves (see comment above)
2599          */
2600         dbg("%s arg not supported - it was 0x%04x - check /usr/include/asm/ioctls.h", __func__, cmd);
2601         return -ENOIOCTLCMD;
2602 }
2603
2604 static void ftdi_throttle(struct tty_struct *tty)
2605 {
2606         struct usb_serial_port *port = tty->driver_data;
2607         struct ftdi_private *priv = usb_get_serial_port_data(port);
2608         unsigned long flags;
2609
2610         dbg("%s - port %d", __func__, port->number);
2611
2612         spin_lock_irqsave(&priv->rx_lock, flags);
2613         priv->rx_flags |= THROTTLED;
2614         spin_unlock_irqrestore(&priv->rx_lock, flags);
2615 }
2616
2617
2618 static void ftdi_unthrottle(struct tty_struct *tty)
2619 {
2620         struct usb_serial_port *port = tty->driver_data;
2621         struct ftdi_private *priv = usb_get_serial_port_data(port);
2622         int actually_throttled;
2623         unsigned long flags;
2624
2625         dbg("%s - port %d", __func__, port->number);
2626
2627         spin_lock_irqsave(&priv->rx_lock, flags);
2628         actually_throttled = priv->rx_flags & ACTUALLY_THROTTLED;
2629         priv->rx_flags &= ~(THROTTLED | ACTUALLY_THROTTLED);
2630         spin_unlock_irqrestore(&priv->rx_lock, flags);
2631
2632         if (actually_throttled)
2633                 schedule_delayed_work(&priv->rx_work, 0);
2634 }
2635
2636 static int __init ftdi_init(void)
2637 {
2638         int retval;
2639
2640         dbg("%s", __func__);
2641         if (vendor > 0 && product > 0) {
2642                 /* Add user specified VID/PID to reserved element of table. */
2643                 int i;
2644                 for (i = 0; id_table_combined[i].idVendor; i++)
2645                         ;
2646                 id_table_combined[i].match_flags = USB_DEVICE_ID_MATCH_DEVICE;
2647                 id_table_combined[i].idVendor = vendor;
2648                 id_table_combined[i].idProduct = product;
2649         }
2650         retval = usb_serial_register(&ftdi_sio_device);
2651         if (retval)
2652                 goto failed_sio_register;
2653         retval = usb_register(&ftdi_driver);
2654         if (retval)
2655                 goto failed_usb_register;
2656
2657         printk(KERN_INFO KBUILD_MODNAME ": " DRIVER_VERSION ":"
2658                DRIVER_DESC "\n");
2659         return 0;
2660 failed_usb_register:
2661         usb_serial_deregister(&ftdi_sio_device);
2662 failed_sio_register:
2663         return retval;
2664 }
2665
2666
2667 static void __exit ftdi_exit(void)
2668 {
2669
2670         dbg("%s", __func__);
2671
2672         usb_deregister(&ftdi_driver);
2673         usb_serial_deregister(&ftdi_sio_device);
2674
2675 }
2676
2677
2678 module_init(ftdi_init);
2679 module_exit(ftdi_exit);
2680
2681 MODULE_AUTHOR(DRIVER_AUTHOR);
2682 MODULE_DESCRIPTION(DRIVER_DESC);
2683 MODULE_LICENSE("GPL");
2684
2685 module_param(debug, bool, S_IRUGO | S_IWUSR);
2686 MODULE_PARM_DESC(debug, "Debug enabled or not");
2687 module_param(vendor, ushort, 0);
2688 MODULE_PARM_DESC(vendor, "User specified vendor ID (default="
2689                 __MODULE_STRING(FTDI_VID)")");
2690 module_param(product, ushort, 0);
2691 MODULE_PARM_DESC(product, "User specified product ID");
2692
2693 module_param(ndi_latency_timer, int, S_IRUGO | S_IWUSR);
2694 MODULE_PARM_DESC(ndi_latency_timer, "NDI device latency timer override");